WO2005055305A1 - 半導体基板導電層表面の清浄化方法 - Google Patents

半導体基板導電層表面の清浄化方法 Download PDF

Info

Publication number
WO2005055305A1
WO2005055305A1 PCT/JP2004/018066 JP2004018066W WO2005055305A1 WO 2005055305 A1 WO2005055305 A1 WO 2005055305A1 JP 2004018066 W JP2004018066 W JP 2004018066W WO 2005055305 A1 WO2005055305 A1 WO 2005055305A1
Authority
WO
WIPO (PCT)
Prior art keywords
conductive layer
plasma
cleaning
semiconductor substrate
insulating film
Prior art date
Application number
PCT/JP2004/018066
Other languages
English (en)
French (fr)
Inventor
Masaru Sasaki
Shinji Ide
Shigenori Ozaki
Original Assignee
Tokyo Electron Limited
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Limited filed Critical Tokyo Electron Limited
Priority to US10/581,601 priority Critical patent/US7713864B2/en
Priority to EP04819950A priority patent/EP1691403A4/en
Priority to JP2005516002A priority patent/JPWO2005055305A1/ja
Publication of WO2005055305A1 publication Critical patent/WO2005055305A1/ja

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/335Cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers

Definitions

  • the present invention relates to a method for cleaning the surface of a conductive layer of a semiconductor substrate, for example, cleaning the surface of a conductive layer exposed at the bottom of a via hole having a double damascene structure in which a via hole and a wiring portion are simultaneously formed. On how to do it.
  • Patent Document 1 JP-A-2002-26121 (paragraph number 0031, FIG. 6)
  • the process of manufacturing such a dual damascene structure includes a process of cleaning the surface of the conductive layer exposed at the bottom of the via hole.
  • An etching residue of an organic substance such as a photoresist often exists on the surface of the conductive layer at the bottom of the via hole.
  • a natural oxide film is inevitably formed on the surface of the conductive layer.
  • the conductive layer is copper, copper oxide (CuO) appears.
  • CuO copper oxide
  • Patent Document 1 describes that the surface of a low-density dielectric constant film is subjected to plasma treatment to form a dense surface-modified layer, thereby preventing an increase in electric resistance of a via hole. ing.
  • a main object of the present invention is to provide a semiconductor substrate which can sufficiently remove residual organic substances and natural oxides and does not adversely affect a dielectric constant (k value) without damaging a sidewall insulating film of a via hole.
  • An object of the present invention is to provide a method for cleaning the surface of a conductive layer.
  • a cleaning method is a method for cleaning the surface of a conductive layer on a semiconductor substrate placed in a reaction chamber, wherein a plasma containing hydrogen is generated in the reaction chamber. This is a cleaning method in which the surface of the conductive layer is reduced by the plasma.
  • the plasma containing hydrogen is generated in the reaction chamber, and the surface of the conductive layer is cleaned and reduced by the plasma, whereby the oxide film can be removed. Therefore, the electric resistance may be increased. It can be cleaned without increasing the dielectric constant (k value).
  • Residual organic substances present on the surface of the conductive layer may be removed by plasma ashes to remove the residual organic substances.
  • An insulating film is formed on the surface of the conductive layer, and a via hole exposing a part of the conductive layer is formed in the insulating film, and the surface of the conductive layer exposed at the bottom of the via hole is cleaned by plasma. be able to.
  • An upper insulating film is further laminated on the insulating film, and a wiring groove for exposing a via hole is formed in the upper insulating film. After the formation of the upper insulating film, the exposed surface of the conductive layer is subjected to plasma. Can also be washed.
  • the step of cleaning can also be performed by high-density plasma processing at a low electron temperature.
  • the high-density plasma processing generates a high-density plasma by distributing a uniform electric field in a reaction vessel based on microwaves.
  • the plasma treatment is performed in an atmosphere of a mixed gas containing hydrogen and helium.
  • the helium ratio can be 0.005—20 ⁇ to choose from.
  • FIG. 1 is a cross-sectional view of a plasma substrate processing apparatus that can be used for performing a cleaning method according to the present invention.
  • FIG. 2 is a partially broken perspective view of a slot plate installed in the plasma substrate processing apparatus shown in FIG. 1.
  • FIG. 3 is a cross-sectional view showing a dual damascene structure on a semiconductor substrate.
  • FIG. 4 is a view showing asshing rates of various processing gases.
  • FIG. 5A is a view showing a k value of an insulating film when processed with various processing gases.
  • FIG. 5B is a diagram showing ⁇ k of an insulating film when processed with various processing gases.
  • FIG. 6 is a view showing etching rates of various processing gases with respect to SiOCH.
  • FIG. 7 is a diagram showing a flow ratio of HeZH gas.
  • FIG. 8 is a view showing a flow ratio of NZH gas.
  • FIG. 4 is a diagram illustrating reduction characteristics.
  • FIG. 10 is a flowchart showing steps for carrying out a cleaning method according to the present invention.
  • FIG. 1 is a cross-sectional view of a high-density plasma processing apparatus 10 used for cleaning a dual damascene structure according to the present invention.
  • FIG. 2 is a partially cutaway perspective view of a slot plate provided in the high-density plasma processing apparatus shown in FIG.
  • the high-density plasma processing apparatus 10 includes a processing vessel 11 provided with a substrate holder 12 for holding a semiconductor wafer W having a dual damascene structure.
  • the gas in the processing chamber 11 is exhausted from the exhaust pipe 135 via the exhaust port 136, the exhaust chamber 137, and the exhaust pipe port 134 by the exhaust system 124.
  • the substrate holder 12 has a heater 121 for heating the semiconductor wafer W.
  • the heater 121 is driven by an external heater power supply 122.
  • the semiconductor wafer W on the substrate holding table 12 is opposed. And an opening is provided.
  • This opening is made of quartz, aluminum oxide, aluminum nitride
  • a slot plate 14 functioning as an antenna (planar antenna) as shown in FIG. 2 is arranged above (outside) the dielectric plate 13.
  • the slot plate 14 includes, for example, a circular conductive plate 141 formed by plating a metal or silver on a disk-shaped thin copper plate.
  • the circular conductive plate 141 has a large number of T-shaped slits 142 formed concentrically. I have.
  • the slits are formed radially in the radial direction, and the interval between the slits 142 is preferably gZ2 or ⁇ g. These slits 142 form a uniform electric field distribution in the space inside the processing chamber 11.
  • a dielectric plate 15 made of quartz, alumina, aluminum nitride, or the like is arranged.
  • This dielectric plate 15 is sometimes called a slow wave plate or a wavelength shortening plate. The wavelength is shortened by reducing the propagation speed of the microwave to reduce the propagation efficiency of the microwave radiated from the slot plate 14.
  • a cover member 16 made of a conductor (aluminum, stainless steel, or the like) is disposed above (outside) the dielectric plate 15 so as to cover the slot plate 14 and the dielectric plate 15.
  • a refrigerant passage 16a through which a refrigerant flows is provided, and by cooling the dielectric plate 13 and the slot plate 14, damage to the member is suppressed.
  • a rectangular waveguide 132 and a coaxial waveguide 132 for introducing microwaves from the microwave generation source 128 are provided at the center of the upper end of the processing container 11.
  • a gas nozzle 22 for introducing a gas is provided on a wall of the processing container 11, and can supply various gases as illustrated.
  • the semiconductor wafer W By opening the gate valve 125, the semiconductor wafer W can be loaded and unloaded from the loading port 133.
  • a coolant channel 24 is formed outside the wall of the processing container 11 so as to surround the entire container.
  • the gas supply source 130, the exhaust system 124, the heater power supply 122, and the like are controlled by the control device 120.
  • the control device 124 includes a CPU, a memory storage medium such as a ROM'RAM, a hard disk, a CDROM driver, an input / output device (not shown), and the like (not shown).
  • the software for executing the method for cleaning the surface of the conductive layer of the semiconductor substrate according to the present invention is stored in a node disk or ROM, or supplied by an external force through a CDROM or the like. By transferring to the AM, the CPU in the control device 124 can execute the cleaning method according to the present invention.
  • FIG. 3 is a cross-sectional view showing a dual damascene structure.
  • interlayer insulating films 2 and 3 made of a low dielectric constant (low k) film such as SiCOH are formed on a conductive layer 1 which is a Cu wiring layer.
  • a via hole 4 serving as a connection portion is formed in the interlayer insulating film 2, and a portion of the conductive layer 1 is exposed at the bottom of the via hole 4.
  • a wiring groove 5 exposing the via hole 4 is formed in the interlayer insulating film 3.
  • the via hole 4 and the wiring groove 5 are formed by etching.
  • a residual organic matter 6 such as a photoresist exists on the surface of the conductive layer 1 and a copper oxide film (CuO) 7 is formed. I will.
  • a substrate having a dual damascene structure is carried into the processing vessel 11 of the high-density plasma processing apparatus 10 shown in FIGS. 1 and 2, and a gas containing hydrogen is introduced into the processing vessel 11.
  • a gas containing hydrogen is introduced into the processing vessel 11.
  • processing gas such as Ar / OZHe gas, Ar / N / H gas, and Ar / He / H gas is used.
  • high-density plasma treatment at 10 11 to 10 13 / cm 2 at low electron temperature (0.7 eV-2 eV) by high-density plasma treatment device 10 to assemble residual organic matter 6 to achieve interlayer insulation It is possible to decompose and remove the residual organic matter 6 that does not damage the films 2 and 3 or increase the k value, and reduce the copper oxide film 7 to copper.
  • FIG. 4 shows the ArZO ZHe gas, Ar / N / H gas, and Ar
  • FIG. 5A and FIG. 5B show the k value and ⁇ k value by the processing gas. More specifically, FIG. 5A shows the processing gas of Ar single gas, ArZN / H gas, and Ar / He / H gas.
  • FIG. 5B is a diagram showing the difference Ak between the two k values.
  • the left vertical axis shows the k value and Ak, respectively.
  • the difference between the k value in the room temperature treatment and the k value in the 200 ° C. treatment is as small as about 15 Ak force SO.
  • the k value and the k value are as small as about 15 Ak force SO.
  • the k value does not increase when exposed to plasma, it can be said that it is suitable for assuring residual organic matter 6.
  • the conditions are preferred so that the k value is not increased and plasma damage to the Lowk film (low dielectric film) does not occur.
  • FIG. 6 is a diagram showing an etching rate of each processing gas with respect to SiOCH (interlayer insulating film).
  • Ar gas, Ar / N / H gas, and Ar / He / H gas Ar gas, Ar / N / H gas, and Ar / He / H gas
  • etching rate is small at about 200AZmin or less, ArZO ZHe gas, Ar
  • the etching rate of gas containing oxygen such as ⁇ gas and Ar / O gas is about 1900 (
  • Ar / He / H gas has lower etching rate than ArZHeZH gas
  • the etching rate is small, as shown in FIG. 6, but the damage to the interlayer insulating films 2, 3 is small, so that it is suitable as a processing gas.
  • FIG. 7 is a diagram showing a flow ratio of He gas to Ar gas of H gas of ArZHeZH gas.
  • FIG. 8 shows the flow ratio of N to H of ArZN / H gas. Shown in Figure 8
  • ArZHeZH gas has a higher flow rate than Ar / N / H gas.
  • the residual organic matter 6 By associating residual organic matter 6 with a substrate having a machine structure by high-density plasma treatment at a low electron temperature, the residual organic matter can be most effectively prevented from damaging the interlayer insulating films 2 and 3 without increasing the k value. 6 can be disassembled and removed.
  • FIG. 9 shows the oxygen reduction when the copper oxide film 7 was irradiated with the plasma using the HeZH gas.
  • FIG. 3 is a graph showing characteristics, in which the vertical axis indicates the oxygen content (atomic%).
  • the copper oxide film 7 contains 35 atomic% of oxygen, but when the He / H plasma is exposed to CuO for 5 seconds, the oxygen content decreases to 5 atomic%. And 10 more
  • hydrogen is contained as in ArZHeZH gas.
  • a substrate W having a dual damascene structure is set in the processing chamber 11 from the adjacent chamber (not shown) following the processing chamber 11 through the loading port 133 (S 12). .
  • ArZHeZH gas is supplied from the gas source 130 into the processing vessel 11 (S14).
  • Microwaves are propagated from the microwave generation source 128 into the processing vessel 11 to generate plasma (S16) (conditions: 10 11 to 10 13 / cm 2 at low electron temperature (0.7 eV-2 eV))
  • High density plasma treatment By controlling the generation time of the plasma containing hydrogen, the residual organic matter 6 on the side walls of the interlayer insulating films 2 and 3 is decomposed and removed by asking (S18), and the conductive layer 1 exposed at the bottom of the via hole 4 is removed. The copper oxide film 7 on the surface is reduced to copper (Cu). After that, the plasma is stopped (S20), the chamber is evacuated (S22), and then the substrate W is discharged out of the processing chamber 11 (S24).
  • the present invention not only cleans and removes residual organic matter 6 such as a photoresist, but also exposes a conductive layer surface exposed to a wiring portion such as tungsten, copper, metal silicide such as WSi, NiSi, and CoSi. Can also be applied to the case of cleaning.
  • the semiconductor device is carried into the processing chamber 11, plasma containing hydrogen is generated in the processing chamber 11, the conductive layer 1 on the bottom of the via hole 4 is cleaned, and the semiconductor layer is left by asshing. It can be used in a plasma substrate processing apparatus that decomposes and removes residue organic matter 6 and reduces the copper oxide film 7 on the surface of the conductive layer 1 to Cu.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

 残渣有機物や自然酸化物を十分に除去でき、且つ、ビアホールの側壁絶縁膜にダメージを与えることなく、k値に悪影響を与えることがない半導体基板導電層表面の清浄化方法を提供する。  半導体基板の導電層1表面上に絶縁膜2,3が形成され、絶縁膜3には 導電層1の一部を露出するビアホール4が形成された半導体装置を反応容器内に搬入し、反応容器内に水素を含むプラズマを発生させて、ビアホール4底部の導電層1上を清浄化し、アッシングにより残渣有機物6を分解除去し、導電層1表面上の銅酸化膜7をCuに還元する。

Description

明 細 書
半導体基板導電層表面の清浄化方法
技術分野
[0001] 本発明は、半導体基板導電層表面の清浄ィ匕方法に関し、例えば、ビアホールと配 線部とを同時に形成する二重ダマシン構造のビアホール底部に露出している導電層 表面を清浄ィ匕する方法に関する。
背景技術
[0002] 従来、半導体装置では配線を加工してから層間絶縁膜を堆積,平坦化する方法が 用いられている。これに対して異なる概念として、ビアホールと配線用溝とを同時に形 成する二重ダマシン(Dual Damascene)構造がある。この構造では、ビアホールと 配線用溝とを同じ材料に統一できるので接続孔界面抵抗の低減、エレクト口マイダレ ーシヨン耐性向上が実現できるという特徴がある。特に、二重ダマシン構造では、常 に平坦面に層間絶縁膜を堆積するため、これまで配線間にボイドを形成しな ヽように 、膜被覆性を改善してきた要求が不要になるなどの特徴がある。
特許文献 1 :特開 2002-26121号公報 (段落番号 0031、図 6)
発明の開示
発明が解決しょうとする課題
[0003] このような二重ダマシン構造の製造工程には、ビアホール底部に露出する導電層 表面の洗浄化工程が含まれる。ビアホール底部の導電層表面には、フォトレジストな どの有機物のエッチング残渣が存在していることが多い。また、導電層表面には不可 避的に自然酸化膜が形成されてしまう。例えば、導電層が銅の場合、酸化銅 (CuO) が現れる。このような残渣有機物や酸化物の存在は、ビアホール部における電気抵 抗を大きくしてしまうという問題点がある。
[0004] 特許文献 1には、低密度誘電率膜の表面をプラズマ処理し緻密な表面改質層を形 成することにより、ビアホール部の電気抵抗が増大するのを阻止することについて記 載されている。
[0005] また、特許文献 1に記載されて ヽる方法以外の従来の方法として、ビアホール底部 に露出した導電層表面を清浄化する方法がある。この方法ではアルゴンイオンを打 ち込むことにより残渣有機物を分解して除去しているが、清浄ィ匕が不十分である。ァ ルゴンイオンの打ち込みだけではアツシングをして ヽな 、ので、残渣有機物を完全に は除去できない。また、自然酸ィ匕物も除去することができない。さらに、アルゴンィォ ンを打ち込む際にビアホールの側壁絶縁膜にダメージを与えてしま 、、誘電率 (k値 )に悪影響を与えてしまうという問題がある。
[0006] 本発明の主たる課題は、残渣有機物や自然酸ィ匕物を十分に除去でき、ビアホール の側壁絶縁膜にダメージを与えることなぐ誘電率 (k値)に悪影響を与えることがない 半導体基板導電層表面の清浄化方法を提供することである。
課題を解決するための手段
[0007] 本発明の一特徴に従った清浄ィ匕方法は、反応室内に置かれた半導体基板上の導 電層表面を清浄化する方法であって、反応室内に水素を含むプラズマを発生させ、 導電層表面をこのプラズマによって還元しながら清浄ィ匕方法である。
[0008] このように反応室内に水素を含むプラズマを発生させ、導電層表面をこのプラズマ によって還元しながら清浄ィ匕することより、酸ィ匕膜を除去できるので、電気抵抗が高く なることがなぐ誘電率 (k値)も大きくすることなく清浄ィ匕できる。
[0009] 導電層表面上に存在する残渣有機物をプラズマによってアツシングすることで残渣 有機物を除去してもよい。
[0010] 導電層表面上に絶縁膜が形成され、絶縁膜には導電層の一部を露出するビアホ ールが形成されていて、ビアホール底部に露出する導電層表面をプラズマによって 清浄ィ匕することができる。
[0011] 絶縁膜上にさらに上層絶縁膜が積層され、この上層絶縁膜には、ビアホールを露 出する配線用溝が形成されていて、上層絶縁膜の形成後に、露出した導電層表面 をプラズマによって洗浄ィ匕することもできる。
[0012] 清浄ィ匕する工程は、低電子温度の高密度プラズマ処理によって行うこともできる。
[0013] 高密度プラズマ処理は、マイクロ波に基づいて反応容器内に均一な電界を分布さ せて高密度プラズマを発生させる。
[0014] プラズマ処理は、水素とヘリウムとを含む混合ガスの雰囲気下で行われ、水素に対 するヘリウムの比率が 0. 005— 20〖こ選ぶこと力 Sできる。 図面の簡単な説明
[0015] [図 1]本発明に従った清浄ィ匕方法の実施に用いることができるプラズマ基板処理装 置の断面図である。
[図 2]図 1に示したプラズマ基板処理装置内に設置されたスロット板の一部破断斜視 図である。
[図 3]半導体基板上の二重ダマシン構造を示す断面図である。
[図 4]各種処理ガスのアツシングレートを示す図である。
[図 5A]各種処理ガスにより処理した場合の絶縁膜の k値示す図である。
[図 5B]各種処理ガスにより処理した場合の絶縁膜の Δ kを示す図である。
[図 6]各種処理ガスの SiOCHに対するエッチングレートを示す図である。
[図 7]HeZHガスのフローレシオを示す図である。
2
[図 8]N ZHガスのフローレシオを示す図である。
2 2
[図 9]HeZHガスを用いたプラズマを CuOに照射したときの照射時間に対する酸素
2
還元特性を示す図である。
[図 10]本発明に従った清浄ィ匕方法の実施工程を示すフローチャートである。
発明を実施するための最良の形態
[0016] 本発明の実施の形態について、図面に基づき以下に説明する。
[0017] 図 1は、本発明に従った、二重ダマシン構造を清浄するために使用される高密度プ ラズマ処理装置 10の断面図である。図 2は、図 1に示した高密度プラズマ処理装置 内に設けられたスロット板の一部破断斜視図である。
[0018] 高密度プラズマ処理装置 10は、二重 (dual)ダマシン構造の半導体ウェハ Wを保持 する基板保持台 12が設けられた処理容器 11を含む。処理容器 11内の気体 (ガス) は、排気口 136,排気室 137、排気パイプ口 134を経て、排気パイプ 135から排気シ ステム 124によって排気される。なお、基板保持台 12は半導体ウェハ Wを加熱するヒ ータ 121を有している。ヒータ 121は、外部にあるヒータ電源 122により駆動される。
[0019] 処理容器 11の装置上方(上側)には、基板保持台 12上の半導体ウェハ Wに対向 して開口部が設けられている。この開口部は、石英や酸ィ匕アルミニウム、窒化アルミ
-ゥム力もなる誘電体板 13により気密に封止されている。誘電体板 13の上部(外側) には、図 2に示すようなアンテナ(平面アンテナ)として機能するスロット板 14が配置さ れている。
[0020] スロット板 14は、例えば円板状の薄板銅板に金属又は銀メツキされた円形導体板 1 41を含み、円形導体板 141には多数の T型のスリット 142が同心円状に形成されて いる。またスリットは半径方向に放射状に形成され、スリット 142間の間隔は、 gZ2 または λ gとするのが好まし 、。これらのスリット 142により処理容器 11内の空間に対 して均一な電界分布が形成される。
[0021] スロット板 14のさらに上部(外側)には、石英,アルミナ,窒化アルミニウムなどから なる誘電体板 15が配置されている。この誘電体板 15は、遅波板または波長短縮板と 呼ばれることがあり、マイクロ波の伝播速度を低下させることにより波長を短くしてスロ ット板 14から放射されるマイクロ波の伝播効率を向上させる。誘電体板 15の上部(外 側)には、スロット板 14及び誘電体板 15を覆うように導体 (アルミニウムやステンレスス チール等)のカバー部材 16が配置されて 、る。
[0022] カバー部材 16の内部には、冷媒が流れる冷媒路 16aが設けられ、誘電体板 13及 びスロット板 14を冷却することにより部材の破損等が抑制される。また、処理容器 11 の上端中央には、マイクロ波発生源 128からのマイクロ波を導入するための矩形導 波管 132及び同軸導波管 132が設けられている。処理容器 11の壁には、ガスを導 入するためのガスノズル 22が設けられており、図示のような各種ガスを供給できる。
[0023] ゲートバルブ 125を開くことにより、搬入口 133から半導体ウェハ Wを搬出入可能 である。
[0024] 処理容器 11の壁外側には、容器全体を囲むように冷媒流路 24が形成されている。
ガス供給源 130、排気システム 124及びヒータ電源 122等は、制御装置 120により制 御される。制御装置 124の内部には CPU, ROM 'RAM等のメモリ記憶媒体、ハー ドディスク、 CDROMドライバー、入出力装置等(図示せず)が設けられている。本発 明に従った半導体基板導電層表面の清浄ィ匕方法を実行するためのソフトウェアをノ、 ードディスクや ROMに記憶しておくか或いは CDROM等により外部力 供給して R AMへと転送することにより、制御装置 124内の CPUが本発明に従った清浄ィ匕方法 を実行することができる。
[0025] 図 3は二重ダマシン構造を示す断面図である。図 3において、 Cu配線層である導 電層 1上に SiCOHなどの低誘電率 (low k)膜からなる層間絶縁膜 2, 3が形成され ている。層間絶縁膜 2には接続部となるビアホール 4が形成され、ビアホール 4の底 部には導電層 1の一部が露出している。層間絶縁膜 3にはビアホール 4を露出する配 線用溝 5が形成されて 、る。ビアホール 4および配線用溝 5はエッチングにより形成さ れる力 その際に導電層 1表面にはフォトレジストなどの残渣有機物 6が存在するとと もに、銅酸ィ匕膜 (CuO) 7が形成されてしまう。
[0026] 本発明では図 1および図 2に示した高密度プラズマ処理装置 10の処理容器 11内 に二重ダマシン構造を有する基板を搬入し、処理容器 11内に水素を含むガスを導 入し、ガスが導入された処理容器 11内で水素を含むプラズマを発生させて、反応容 器内の圧力と、水素を含むプラズマの発生時間を制御することにより、層間絶縁膜 2 , 3の側壁の残渣有機物 6をアツシングにより分解、除去するとともに、ビアホール 4の 底部に露出した導電層 1表面の銅酸化膜 7を銅 (Cu)に還元する。
[0027] 高密度プラズマ処理装置 10によりプラズマを発生させて残渣有機物 6を除去する ために、 Ar/O ZHeガス, Ar/N /Hガス, Ar/He/Hガスなどの処理ガスを
2 2 2 2 使用することが考えられる力 より好ましくは、 Ar/He/Hガス
2 雰囲気下で、高密度 プラズマ処理装置 10によって低電子温度(0. 7eV— 2eV)で 1011 〜 1013 /cm2 の高密度プラズマ処理することにより残渣有機物 6をアツシングすることにより、層間 絶縁膜 2, 3にダメージを与えたり、 k値を大きくすることなぐ残渣有機物 6を分解して 除去することができ、且つ、銅酸ィ匕膜 7を銅に還元することができる。
[0028] 図 4は、処理容器 11内に導入される ArZO ZHeガスと、 Ar/N /Hガスと、 Ar
2 2 2
/He/Hガスのそれぞれの流量比を 1000Z200Z200sccmに選び、処理容器 1
2
1内の圧力 500mTorr、マイクロ波の出力 1. 5kW、誘電体板 13と基板 Wとの間のギ ヤップ 105mm、処理容器 11内の温度 250°Cの条件でフォトレジストをアツシングした 場合のアツシングレートを比較したものである。この図 4から酸素を含む Ar/O /He
2 ガスが最もアツシングレートが高くなつており、続いて ArZN /Hガス、 Ar/He/ Hガスの順になつている。
2
[0029] また、図 5A及び図 5Bは、処理ガスによる k値及び Δ k値を示すものである。具体的 には、図 5Aは、 Ar単ガス、 ArZN /Hガス、 Ar/He/Hガスの各処理ガスによ
2 2 2
り室温で処理した場合の k値、 200°Cの温度で処理した場合の k値を示している。図 5Bは、それら両 k値の差 Akを示す図である。左縦軸は、それぞれ k値及び Akを示 している。
[0030] 図 5Aにおいて、 Ar単ガスの場合、室温処理における k値と 200°C処理における k 値との差 Ak力 SO. 15程度で小さい。 Ar/N /Hガスでは、室温処理における k値と
2 2
200°C処理における k値との差 Akが約 0. 35程度で大きぐ ArZHeZHガスでは
2
、室温処理における k値と 200°C処理における k値との差 Akが 0. 12程度で最も変 ィ匕が小さくなつている。 Arガスは差 Akが 0. 15程度で最も小さな値になっているが、 従来例で説明したように有機物残渣 6を完全に除去し切れない。したがって、同じ H
2 を含むガスであっても、 Ar/N /Hガスに比べて Ar/He/Hガスのように Akが
2 2 2
少ない方力 プラズマに晒したときに k値を大きくすることがないので残渣有機物 6の アツシングに適しているといえる。また、 k値を上げず Lowk膜 (低誘電膜)へのプラズ マダメージを生じな 、条件が好まし 、。
[0031] さらに、図 6は各処理ガスの SiOCH (層間絶縁膜)に対するエッチングレートを示す 図である。図 6において、 Arガス, Ar/N /Hガス, Ar/He/Hガスは、いずれも
2 2 2
エッチングレートが約 200AZmin以下で小さいのに対して、 ArZO ZHeガス, Ar
2
Ζθ ΖΝガス, Ar/Oガスのように酸素を含むガスのエッチングレートは約 1900 (
2 2 2
オングストローム A)AZminであり、大きい値になっている。この対比から、 Ar/O
2
ZHeガス, Ar/O /Nガス, Ar/Oガスに比べて、 Arガスや ArZN /Hガスや
2 2 2 2 2
Ar/He/Hガスの方がエッチングレートが小さぐそのうち ArZHeZHガスが最
2 2 もエッチングレートが小さく層間絶縁膜 2, 3に対するダメージが小さいことがわかる。
[0032] これらの比較力も ArZO ZHeガスは、図 4で説明したようにアツシングレートが高く
2
て残渣有機物 6の除去には適する力 エッチングレートも高いので層間絶縁膜 2, 3 の側壁がエッチングされてしま 、、側壁に対するダメージが大きくなつてしまうので処 理ガスとして使用するには不適当である。 [0033] これに対して、 ArZHeZHガスや ArZN /Hガスは、図 4に示すようにアツシン
2 2 2
グレートは小さいが、図 6に示すようにエッチングレートも小さいので層間絶縁膜 2,3 に対するダメージが小さく処理ガスとして適当と言える。このうち、 Ar/He/Hガスと
2
Ar/N /Hガスとを比較すると、図 5に示すように ArZN /Hガスに比べて ArZ
2 2 2 2
He/Hガスの方が Akが小さいので、 ArZHeZHガスを処理ガスとして用いるの
2 2
がアツシングに最適と言える。
[0034] 図 7は ArZHeZHガスの Hガスに対する Heガスのフローレシオを示す図である
2 2
。図 7に示すように、 Hガスに対する Heガスのフローレシオが 0. 0—0. 5付近では k
2
値がほぼ 2. 36であるのに対して、フローレシオが 0. 5付近力 k値が低下し、 0. 7 一 1. 75付近では k値がほぼ 2. 35以下の低い値を示しており、 Hに対して Heをこの
2
比率で選ぶのが k値を小さくする上で好ま U、と言える。これは Hラジカルが残渣物 の分解に寄与するのみであるためであると考えられる。
[0035] 図 8は ArZN /Hガスの Hに対する Nのフローレシオを示している。図 8に示す
2 2 2 2
ように、 Hガスに対して Nガスのフローレシオが 0. 0—0. 5付近では k値が 2. 37—
2 2
2. 55の値を示している力 フローレシオが 0. 5-1. 0のように大きくなるほど k値も 2 . 55-2. 6というように変化が大きくなつている。これは Nラジカルが絶縁膜の中に導 入されるためであると考えられる。
[0036] これらの比較から、 Ar/N /Hガスに比較して、 ArZHeZHガスの方がフロー
2 2 2
レシオの変化に対しての k値の変化が小さ 、ことが分かる。これらの対比から ArZH e/Hガス雰囲気下で、高密度プラズマ処理装置 10により図 3に示したデュアルダ
2
マシン構造を有する基板を低電子温度で高密度プラズマ処理によって残渣有機物 6 をアツシングすることにより、最も効果的に層間絶縁膜 2, 3にダメージを与えることな ぐ k値を大きくすることなく残渣有機物 6を分解して除去することができる。
[0037] 図 9は上記 HeZHガスを用いたプラズマを銅酸ィ匕膜 7に照射したときの酸素還元
2
特性を示す図であり、縦軸は酸素含有量 (原子%)を示して ヽる。
[0038] 図 9に示すように銅酸ィ匕膜 7では酸素が 35原子%含まれているのに対して、 He/ Hプラズマを CuOに 5sec晒すと 5原子%まで酸素含有量が低下しており、さらに 10
2
sec, 20sec晒すと酸素含有量が 5原子%を維持している力 さらに 30sec 60sec 一 180sec晒すと、ほぼ酸素が 0原子%まで減少しており、銅酸化膜 7が還元されて Cuになっており清浄な Cuの表面を形成することができることがわ力る。
[0039] したがって、最も好ましくは実施形態として、 ArZHeZHガスのように水素を含む
2
プラズマを発生させて低電子温度で高密度プラズマでアツシングすることにより、酸 化絶縁膜 2, 3の壁面の残渣有機物 6を除去し導電層 1表面の銅酸化膜 7を Cuに還 元するのが最適であることがわかる。好ましい条件は、 Ar: 500〜3000sccm ; He : 50〜1000sccm; H : 50〜1000sccm; 圧力: lOOmmTorr
2 〜 5Torr 出力: 0.5〜3kW 温 度:室温以上、 500°C以下 処理時間: 20秒以上、 600秒以下である。
[0040] 図 10のフローチャートを参照しながら本発明に従った清浄ィ匕方法の実施工程を簡 単に説明する。
[0041] 真空引き (S 10)した後に処理容器 11に続く隣接チャンバ一 (図示せず)から、搬入 口 133を通じて二重ダマシン構造を有する基板 Wを処理容器 11内にセットする(S1 2)。ガス源 130から代表的に ArZHeZHガスを処理容器 11内に供給する(S 14)
2
。マイクロ波発生源 128からマイクロ波を処理容器 11内に伝搬させ、プラズマを発生 させる(S16) (条件:低電子温度 (0. 7eV— 2eV)で 1011〜 1013 /cm2
の高密度プラズマ処理)。水素を含むプラズマの発生時間を制御することにより、層 間絶縁膜 2, 3の側壁の残渣有機物 6をアツシングにより分解、除去する(S18)ととも に、ビアホール 4の底部に露出した導電層 1表面の銅酸ィ匕膜 7を銅 (Cu)に還元する 。この後、プラズマを停止し (S20)、真空引き(S22)してから、基板 Wを処理容器 11 の外に排出する(S24)。
[0042] なお、本発明はフォトレジストなどの残渣有機物 6を清浄して除去するのみならず、 タングステン,銅、 WSi, NiSi, CoSi等の金属シリサイドなどの配線部に露出している 導電層表面を清浄する場合にも適用できる。
[0043] 図面を参照して本発明の一実施形態を説明したが、本発明は、図示した実施形態 に限定されるものではない。
産業上の利用可能性
[0044] 本発明は、半導体装置を処理容器 11内に搬入し、処理容器 11内に水素を含むプ ラズマを発生させて、ビアホール 4底部の導電層 1上を清浄ィ匕し、アツシングにより残 渣有機物 6を分解除去し、導電層 1表面上の銅酸ィヒ膜 7を Cuに還元するプラズマ基 板処理装置に利用できる。

Claims

請求の範囲
[1] 反応室内に置かれた半導体基板上の導電層表面を清浄ィ匕する方法であって、 前記反応室内で水素を含むプラズマを発生させ、前記導電層表面をこのプラズマ によって還元しながら清浄化することを特徴とする、半導体基板導電層表面の清浄 化方法。
[2] 前記導電層表面上に存在する残渣有機物を前記プラズマによってアツシングする ことを特徴とする、請求項 1に記載の半導体基板導電層表面の清浄化方法。
[3] 前記導電層表面上に絶縁膜が形成され、前記絶縁膜には前記導電層の一部を露 出させるビアホールが形成されており、前記ビアホール底部に露出している導電層 表面を前記プラズマによって清浄ィ匕することを特徴とする、請求項 1または 2に記載 の半導体基板導電層表面の清浄化方法。
[4] 前記絶縁膜上にさらに上層絶縁膜が積層され、この上層絶縁膜には、前記ビアホ ールを露出する配線用溝が形成されており、
前記上層絶縁膜の形成後に、露出した導電層表面を前記プラズマによって清浄ィ匕 することを特徴とする、請求項 3に記載の半導体基板導電層表面の清浄化方法。
[5] 前記の清浄ィ匕する工程は、低電子温度の高密度プラズマ処理によって行うことを特 徴とする、請求項 1に記載の半導体基板導電層表面の清浄化方法。
[6] 前記高密度プラズマ処理は、マイクロ波に基づいて前記反応容器内に均一な電界 を分布させて高密度プラズマを発生させて行うことを特徴とする、請求項 5に記載の 半導体基板導電層表面の清浄化方法。
[7] 前記高密度プラズマ処理は、水素とヘリウムとを含む混合ガスの雰囲気下で行われ
、水素に対するヘリウムの比率が 0. 005— 20に選ばれることを特徴とする、請求項 6 に記載の半導体基板導電層表面の清浄化方法。
[8] 前記の水素を含むプラズマは、 Arガスを含むプラズマであることを特徴とする、請求 項 1に記載の半導体基板導電層表面の清浄化方法。
[9] 前記の水素を含むプラズマは、 Arガスと Heガスを含むプラズマであることを特徴と する、請求項 1に記載の半導体基板導電層表面の清浄化方法。
[10] 前記の水素を含むプラズマは、 Heガスを含むプラズマであることを特徴とする、請 求項 1に記載の半導体基板導電層表面の清浄化方法。
[11] 前記プラズマの密度が 101G— 1013Zcm3であることを特徴とする、請求項 1に記載 の半導体基板導電層表面の清浄化方法。
[12] 前記プラズマの電子温度が 0. 7— 3eVであることを特徴とする、請求項 1に記載の 半導体基板導電層表面の清浄化方法。
[13] 前記プラズマは平面アンテナを用いて発生させたプラズマであることを特徴とする、 請求項 6に記載の半導体基板導電層表面の清浄化方法。
[14] 前記プラズマは誘導結合プラズマ又はマグネトロンプラズマであることを特徴とする
、請求項 5に記載の半導体基板導電層表面の清浄化方法。
[15] 反応室内に置かれた半導体基板上の導電層表面を清浄化する方法であり、
前記反応室内で水素を含むプラズマを発生させるステップ;及び
前記導電層表面をこのプラズマによって還元しながら清浄ィ匕するステップ; を有する清浄ィヒ方法を実行するソフトウェアを記憶した記憶媒体。
[16] 前記導電層表面上に存在する残渣有機物を前記プラズマによってアツシングする ことを特徴とする、請求項 15に記載の記憶媒体。
[17] 前記導電層表面上に絶縁膜が形成され、前記絶縁膜には前記導電層の一部を露 出させるビアホールが形成されており、前記ビアホール底部に露出している導電層 表面を前記プラズマによって清浄ィ匕することを特徴とする、請求項 15または 16に記 載の記憶媒体。
[18] 前記絶縁膜上にさらに上層絶縁膜が積層され、この上層絶縁膜には、前記ビアホ ールを露出する配線用溝が形成されており、
前記上層絶縁膜の形成後に、露出した導電層表面を前記プラズマによって清浄ィ匕 することを特徴とする、請求項 17に記載の記憶媒体。
[19] 前記の清浄ィ匕する工程は、低電子温度の高密度プラズマ処理によって行うことを特 徴とする、請求項 15に記載の記憶媒体。
[20] 前記高密度プラズマ処理は、マイクロ波に基づいて前記反応容器内に均一な電界 を分布させて高密度プラズマを発生させて行うことを特徴とする、請求項 19に記載の 記憶媒体。 前記高密度プラズマ処理は、水素とヘリウムとを含む混合ガスの雰囲気下で行われ
、水素に対するヘリウムの比率が 0. 005— 20に選ばれることを特徴とする、請求項 2 0に記載の記憶媒体。
PCT/JP2004/018066 2003-12-04 2004-12-03 半導体基板導電層表面の清浄化方法 WO2005055305A1 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US10/581,601 US7713864B2 (en) 2003-12-04 2004-12-03 Method of cleaning semiconductor substrate conductive layer surface
EP04819950A EP1691403A4 (en) 2003-12-04 2004-12-03 METHOD FOR CLEANING THE CONDUCTIVE COATING SURFACE OF A SEMICONDUCTOR SUBSTRATE
JP2005516002A JPWO2005055305A1 (ja) 2003-12-04 2004-12-03 半導体基板導電層表面の清浄化方法

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2003-406441 2003-12-04
JP2003406441 2003-12-04

Publications (1)

Publication Number Publication Date
WO2005055305A1 true WO2005055305A1 (ja) 2005-06-16

Family

ID=34650253

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2004/018066 WO2005055305A1 (ja) 2003-12-04 2004-12-03 半導体基板導電層表面の清浄化方法

Country Status (7)

Country Link
US (1) US7713864B2 (ja)
EP (1) EP1691403A4 (ja)
JP (1) JPWO2005055305A1 (ja)
KR (1) KR100912321B1 (ja)
CN (1) CN100499030C (ja)
TW (1) TWI389737B (ja)
WO (1) WO2005055305A1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009010043A (ja) * 2007-06-26 2009-01-15 Tokyo Electron Ltd 基板処理方法,基板処理装置,記録媒体
WO2011034016A1 (ja) 2009-09-16 2011-03-24 日立化成工業株式会社 金属銅膜及びその製造方法、金属銅パターン及びそれを用いた導体配線、金属銅バンプ、熱伝導路、接合材、並びに液状組成物
WO2019124321A1 (ja) * 2017-12-18 2019-06-27 積水化学工業株式会社 表面処理方法及び装置

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4588391B2 (ja) * 2004-09-01 2010-12-01 芝浦メカトロニクス株式会社 アッシング方法及びアッシング装置
KR100739988B1 (ko) * 2006-06-28 2007-07-16 주식회사 하이닉스반도체 플래쉬 메모리 소자의 제조방법
JP5143769B2 (ja) * 2008-03-12 2013-02-13 東京エレクトロン株式会社 半導体装置およびその製造方法
EP2618421A1 (en) 2012-01-19 2013-07-24 Huawei Technologies Co., Ltd. Surface Mount Microwave System
GB201316446D0 (en) * 2013-09-16 2013-10-30 Spts Technologies Ltd Pre-cleaning a semiconductor structure
US9378941B2 (en) * 2013-10-02 2016-06-28 Applied Materials, Inc. Interface treatment of semiconductor surfaces with high density low energy plasma
CN104701261B (zh) * 2013-12-04 2018-09-07 中芯国际集成电路制造(上海)有限公司 晶体管的形成方法
CN104550133B (zh) * 2014-12-11 2017-02-22 河北同光晶体有限公司 一种去除碳化硅单晶中空微缺陷内部、及晶片表面有机污染物的方法
JP6483266B2 (ja) * 2015-08-17 2019-03-13 株式会社アルバック 基板処理方法、および、基板処理装置
US10636661B2 (en) * 2016-01-15 2020-04-28 Taiwan Semiconductor Manufacturing Company Ltd. Apparatus and method for wafer bonding
RS58038B1 (sr) * 2016-04-01 2019-02-28 Gebauer & Griller Metallwerk Gmbh Izolovani električni provodnik
CN105845624A (zh) * 2016-05-11 2016-08-10 上海华虹宏力半导体制造有限公司 通孔及导电插塞的制作方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001203194A (ja) * 1999-09-02 2001-07-27 Applied Materials Inc 低κ誘電体に対する損傷を最小にする金属プラグの事前清浄化方法
JP2003224185A (ja) * 2002-01-28 2003-08-08 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JP2003258090A (ja) * 2002-02-28 2003-09-12 Fujitsu Ltd 半導体装置の製造方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
JP3501937B2 (ja) * 1998-01-30 2004-03-02 富士通株式会社 半導体装置の製造方法
TW512448B (en) * 1999-05-11 2002-12-01 Applied Materials Inc Sequential sputter and reactive precleans of vias and contacts
JP4554011B2 (ja) * 1999-08-10 2010-09-29 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
WO2001029879A2 (en) * 1999-10-20 2001-04-26 Mattson Technology, Inc. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
US6350675B1 (en) * 2000-10-12 2002-02-26 Chartered Semiconductor Manufacturing Ltd. Integration of silicon-rich material in the self-aligned via approach of dual damascene interconnects
US6319842B1 (en) 2001-01-02 2001-11-20 Novellus Systems Incorporated Method of cleansing vias in semiconductor wafer having metal conductive layer
JP4402860B2 (ja) 2001-03-28 2010-01-20 忠弘 大見 プラズマ処理装置
US6630406B2 (en) * 2001-05-14 2003-10-07 Axcelis Technologies Plasma ashing process
US6846756B2 (en) * 2002-07-30 2005-01-25 Taiwan Semiconductor Manufacturing Co., Ltd Method for preventing low-k dielectric layer cracking in multi-layered dual damascene metallization layers
US20060099802A1 (en) * 2004-11-10 2006-05-11 Jing-Cheng Lin Diffusion barrier for damascene structures

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001203194A (ja) * 1999-09-02 2001-07-27 Applied Materials Inc 低κ誘電体に対する損傷を最小にする金属プラグの事前清浄化方法
JP2003224185A (ja) * 2002-01-28 2003-08-08 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JP2003258090A (ja) * 2002-02-28 2003-09-12 Fujitsu Ltd 半導体装置の製造方法

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009010043A (ja) * 2007-06-26 2009-01-15 Tokyo Electron Ltd 基板処理方法,基板処理装置,記録媒体
WO2011034016A1 (ja) 2009-09-16 2011-03-24 日立化成工業株式会社 金属銅膜及びその製造方法、金属銅パターン及びそれを用いた導体配線、金属銅バンプ、熱伝導路、接合材、並びに液状組成物
KR20160044062A (ko) 2009-09-16 2016-04-22 히타치가세이가부시끼가이샤 금속 구리막 및 그 제조 방법, 금속 구리 패턴 및 그것을 이용한 도체 배선, 금속 구리 범프, 열전도로, 접합재, 및 액상(液狀) 조성물
US9457406B2 (en) 2009-09-16 2016-10-04 Hitachi Chemical Company, Ltd. Copper metal film, method for producing same, copper metal pattern, conductive wiring line using the copper metal pattern, copper metal bump, heat conduction path, bonding material, and liquid composition
WO2019124321A1 (ja) * 2017-12-18 2019-06-27 積水化学工業株式会社 表面処理方法及び装置
JPWO2019124321A1 (ja) * 2017-12-18 2020-12-10 積水化学工業株式会社 表面処理方法及び装置

Also Published As

Publication number Publication date
US7713864B2 (en) 2010-05-11
EP1691403A1 (en) 2006-08-16
TW200524669A (en) 2005-08-01
TWI389737B (zh) 2013-03-21
US20070111528A1 (en) 2007-05-17
KR100912321B1 (ko) 2009-08-14
KR20070085038A (ko) 2007-08-27
CN100499030C (zh) 2009-06-10
CN1890785A (zh) 2007-01-03
EP1691403A4 (en) 2009-04-15
JPWO2005055305A1 (ja) 2007-06-28

Similar Documents

Publication Publication Date Title
US8383519B2 (en) Etching method and recording medium
KR100900073B1 (ko) 기판처리방법 및 기판처리장치
TWI413179B (zh) 用於溝槽與介層洞輪廓修飾之方法
CN107507774B (zh) 氮化硅膜的处理方法以及氮化硅膜的形成方法
WO2005055305A1 (ja) 半導体基板導電層表面の清浄化方法
KR20140109834A (ko) 금속 시드 층 상의 금속 산화물을 환원시키는 방법 및 장치
JP2010010700A (ja) 半導体装置の製造方法及び半導体装置
WO2007099922A1 (ja) プラズマ酸化処理方法および半導体装置の製造方法
JP4473824B2 (ja) 半導体装置の製造方法
JP2001168075A (ja) 基板誘電層プレクリーニング方法
JP4413556B2 (ja) 成膜方法、半導体装置の製造方法
WO2006106665A1 (ja) 基板の窒化処理方法および絶縁膜の形成方法
JP4906659B2 (ja) シリコン酸化膜の形成方法
US20230335409A1 (en) Substrate processing method and substrate processing apparatus
JP7422540B2 (ja) 成膜方法および成膜装置
JP4471243B2 (ja) エッチング方法およびプラズマ処理方法
JPH05211134A (ja) 薄膜の形成方法及び薄膜形成装置
JP2004296512A (ja) プラズマ処理装置のクリーニング方法
JP2019029619A (ja) 被処理体を処理する方法
JPH04345026A (ja) プラズマ処理装置
KR20230040889A (ko) 기판 처리 방법, 기판 처리 장치 및 반도체 구조
JPH09306891A (ja) エッチング方法
JP2009295992A (ja) 半導体装置の製造方法、半導体装置

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200480035836.X

Country of ref document: CN

AK Designated states

Kind code of ref document: A1

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A1

Designated state(s): GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IS IT LT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2005516002

Country of ref document: JP

DPEN Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed from 20040101)
WWE Wipo information: entry into national phase

Ref document number: 1020067010790

Country of ref document: KR

Ref document number: 2004819950

Country of ref document: EP

WWE Wipo information: entry into national phase

Ref document number: 2007111528

Country of ref document: US

Ref document number: 10581601

Country of ref document: US

WWP Wipo information: published in national office

Ref document number: 2004819950

Country of ref document: EP

WWP Wipo information: published in national office

Ref document number: 10581601

Country of ref document: US