US20020008443A1 - Thin film, method for manufacturing thin film, and electronic component - Google Patents

Thin film, method for manufacturing thin film, and electronic component Download PDF

Info

Publication number
US20020008443A1
US20020008443A1 US09/874,713 US87471301A US2002008443A1 US 20020008443 A1 US20020008443 A1 US 20020008443A1 US 87471301 A US87471301 A US 87471301A US 2002008443 A1 US2002008443 A1 US 2002008443A1
Authority
US
United States
Prior art keywords
thin film
substrate
electronic component
flow rate
piezoelectric thin
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US09/874,713
Other languages
English (en)
Inventor
Hajime Yamada
Masaki Takeuchi
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Murata Manufacturing Co Ltd
Original Assignee
Murata Manufacturing Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Murata Manufacturing Co Ltd filed Critical Murata Manufacturing Co Ltd
Assigned to MURATA MANUFACTURING CO., LTD. reassignment MURATA MANUFACTURING CO., LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: TAKEUCHI, MASAKI, YAMADA, HAJIME
Publication of US20020008443A1 publication Critical patent/US20020008443A1/en
Priority to US10/338,709 priority Critical patent/US6931701B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H3/00Apparatus or processes specially adapted for the manufacture of impedance networks, resonating circuits, resonators
    • H03H3/007Apparatus or processes specially adapted for the manufacture of impedance networks, resonating circuits, resonators for the manufacture of electromechanical resonators or networks
    • H03H3/02Apparatus or processes specially adapted for the manufacture of impedance networks, resonating circuits, resonators for the manufacture of electromechanical resonators or networks for the manufacture of piezoelectric or electrostrictive resonators or networks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0617AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H9/00Networks comprising electromechanical or electro-acoustic devices; Electromechanical resonators
    • H03H9/02Details
    • H03H9/02007Details of bulk acoustic wave devices
    • H03H9/02086Means for compensation or elimination of undesirable effects
    • H03H9/02133Means for compensation or elimination of undesirable effects of stress
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03HIMPEDANCE NETWORKS, e.g. RESONANT CIRCUITS; RESONATORS
    • H03H9/00Networks comprising electromechanical or electro-acoustic devices; Electromechanical resonators
    • H03H9/15Constructional features of resonators consisting of piezoelectric or electrostrictive material
    • H03H9/17Constructional features of resonators consisting of piezoelectric or electrostrictive material having a single resonator
    • H03H9/171Constructional features of resonators consisting of piezoelectric or electrostrictive material having a single resonator implemented with thin-film techniques, i.e. of the film bulk acoustic resonator [FBAR] type
    • H03H9/172Means for mounting on a substrate, i.e. means constituting the material interface confining the waves to a volume
    • H03H9/174Membranes
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/42Piezoelectric device making
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49005Acoustic transducer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing
    • Y10T29/49124On flat or curved insulated base, e.g., printed circuit, etc.
    • Y10T29/49155Manufacturing circuit on or in base

Definitions

  • the present invention relates to a thin film, a method for manufacturing the thin film, and an electronic component. More particularly, the present invention relates to a thin film, for example, a piezoelectric material thin film, a dielectric material thin film, and a magnetic material thin film, or other suitable thin film, used for an electronic component, for example, a piezoelectric thin film resonator, a filter, sensor, and an actuator or other suitable device.
  • a thin film for example, a piezoelectric material thin film, a dielectric material thin film, and a magnetic material thin film, or other suitable thin film, used for an electronic component, for example, a piezoelectric thin film resonator, a filter, sensor, and an actuator or other suitable device.
  • the C axis is preferably oriented in the direction that is perpendicular to the substrate, and the half-width of the rocking curve is preferably small, as described in, for example, “Acoustic Wave Technology Handbook” written by the Japan Society for the Promotion of Science, 150th Committee on Acoustic Wave Technology issued by Ohmsha, Ltd., 1991.
  • an excellent C axis preferred orientation film is produced at a low gas pressure region, that is, at a film generating a pressure of 0.6 Pa or less, as described in, for example, J.
  • a thin film primarily including AlN formed on a surface of a substrate in which the crystallinity is the C axis preferred orientation, the half-width of a rocking curve is about 1.4° to about 1.6°, and an internal stress can be controlled within the range of approximately ⁇ 1 GPa.
  • a method for manufacturing a thin film includes the steps of forming a thin film according to various preferred embodiments of the present invention by a sputtering method using a mixed gas including Ar and nitrogen, wherein the mixed gas has a nitrogen flow rate ratio, that is, a nitrogen flow rate relative to an Ar flow rate and the nitrogen flow rate, of about 10% to about 75%.
  • the internal stress of the thin film can be controlled without changing the preferred orientation property of the thin film.
  • FIG. 2 is a graph showing the dependency of the internal stress of the AlN piezoelectric thin film on the nitrogen flow rate ratio
  • FIG. 3 is a graph showing dependency of the C axis preferred orientation of the AlN piezoelectric thin film on the nitrogen flow rate ratio
  • FIG. 4 is a sectional schematic diagram of an AlN piezoelectric thin film resonator according to a preferred embodiment of the present invention.
  • FIG. 5 is a sectional schematic diagram of an example of a conventional piezoelectric thin film resonator.
  • FIG. 6 is a sectional schematic diagram of another example of a conventional piezoelectric thin film resonator.
  • FIG. 1 is a sectional schematic diagram of an AlN piezoelectric thin film according to a preferred embodiment of the present invention.
  • An AlN piezoelectric thin film 10 as shown in FIG. 1 is formed on a substrate 12 that is preferably made of, for example, Si or other suitable material.
  • the AlN piezoelectric thin film 10 is formed preferably using an RF magnetron sputtering apparatus including an Al target and using a mixed gas including Ar and nitrogen.
  • the AlN piezoelectric thin film 10 is formed at a temperature of the substrate 12 of approximately 100° C., with an RF power of the apparatus of 100 W, and at a nitrogen flow rate ratio of about 5% to about 90%.
  • the internal stress ⁇ was calculated from the relationship represented by Formula 1 among the warp amount ⁇ of the substrate 12 before and after the formation of the AlN piezoelectric thin film 10 , the measurement distance L of the warp amount, the film thickness d of the AlN piezoelectric thin film 10 , the Young's modulus E of the substrate 12 , the thickness t of the substrate 12 , and the Poisson ratio p of the substrate 12 .
  • the internal stress of the AlN piezoelectric thin film 10 can be controlled within the range of about ⁇ 1 GPa, while the C axis preferred orientation of the AlN piezoelectric thin film 10 is in a high, stable region of about 1.4° to about 1.6°.
  • the internal stress can be controlled, while the C axis preferred orientation is kept high, by controlling the nitrogen flow rate ratio, which is a film formation parameter.
  • FIG. 4 is a sectional schematic diagram of an AlN piezoelectric thin film resonator according to another preferred embodiment of the present invention.
  • a piezoelectric thin film resonator 20 as shown in FIG. 4 includes an Si substrate 22 .
  • a thin film 24 preferably made of SiO 2 , Si 3 N 4 , or Al 2 O 3 , or other suitable material, a lower layer electrode 26 a , an AlN piezoelectric material thin film 28 primarily including AlN as a piezoelectric thin film, and an upper layer electrode 26 b are formed in the aforementioned order.
  • the thin film 24 is preferably formed over the entire top surface of the Si substrate 22 .
  • the lower layer electrode 26 a is formed on a portion including the central portion on the top surface of the thin film 24 .
  • the AlN piezoelectric thin film 28 is formed on the top surfaces of the thin film 24 and the lower layer electrode 26 a corresponding to the portion including the central portion of the thin film 24 .
  • the upper layer electrode 26 b is formed on the top surface of the AlN piezoelectric thin film 28 corresponding to the portion including the central portion of the thin film 24 .
  • the AlN piezoelectric thin film 28 is preferably formed under the conditions which are the same as those existing when forming the AlN piezoelectric thin film 10 as shown in the aforementioned FIG. 1.
  • the thin film 24 , the lower layer electrode 26 a , and the upper layer electrode 26 b are formed preferably by sputtering, vapor deposition, or other suitable process.
  • the film thickness ratio of the thin film 24 relative to the AlN piezoelectric thin film 28 may be adjusted to improve the resonance characteristics, such as the temperature characteristics of the resonant frequency, although the adjustment of the warp of the diaphragm 30 due to the change in the film thickness ratio can be controlled with the internal stress of the AlN piezoelectric thin film 28 .
  • the present invention can be applied to not only the piezoelectric material thin films, but also to other thin films, such as dielectric material thin films and magnetic material thin films, and other thin films.
  • the present invention can be applied to piezoelectric thin film resonators as described above, but the present invention can also be applied to other electronic components, such as filters, sensors, and actuators.
  • the internal stress can be controlled while the preferred orientation property is kept high in the thin films, for example, the piezoelectric material thin films, used for the electronic components, for example, the piezoelectric thin film resonators.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Acoustics & Sound (AREA)
  • Physics & Mathematics (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Organic Chemistry (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Piezo-Electric Or Mechanical Vibrators, Or Delay Or Filter Circuits (AREA)
  • Physical Vapour Deposition (AREA)
  • Surface Acoustic Wave Elements And Circuit Networks Thereof (AREA)
US09/874,713 2000-07-19 2001-06-05 Thin film, method for manufacturing thin film, and electronic component Abandoned US20020008443A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/338,709 US6931701B2 (en) 2000-07-19 2003-01-09 Method for manufacturing a thin film

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2000-219619 2000-07-19
JP2000219619A JP3509709B2 (ja) 2000-07-19 2000-07-19 圧電薄膜共振子及び圧電薄膜共振子の製造方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US10/338,709 Division US6931701B2 (en) 2000-07-19 2003-01-09 Method for manufacturing a thin film

Publications (1)

Publication Number Publication Date
US20020008443A1 true US20020008443A1 (en) 2002-01-24

Family

ID=18714365

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/874,713 Abandoned US20020008443A1 (en) 2000-07-19 2001-06-05 Thin film, method for manufacturing thin film, and electronic component
US10/338,709 Expired - Lifetime US6931701B2 (en) 2000-07-19 2003-01-09 Method for manufacturing a thin film

Family Applications After (1)

Application Number Title Priority Date Filing Date
US10/338,709 Expired - Lifetime US6931701B2 (en) 2000-07-19 2003-01-09 Method for manufacturing a thin film

Country Status (5)

Country Link
US (2) US20020008443A1 (ja)
EP (1) EP1174525B1 (ja)
JP (1) JP3509709B2 (ja)
KR (1) KR20020007212A (ja)
DE (1) DE60120052T2 (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6521100B2 (en) * 2001-02-02 2003-02-18 Nokia Mobile Phones Ltd Method of producing a piezoelectric thin film and bulk acoustic wave resonator fabricated according to the method
US6548937B1 (en) * 2002-05-01 2003-04-15 Koninklijke Philips Electronics N.V. Array of membrane ultrasound transducers
US20060133953A1 (en) * 2003-12-30 2006-06-22 Intel Corporation Biosensor utilizing a resonator having a functionalized surface
US20070211358A1 (en) * 2006-03-10 2007-09-13 Epson Toyocom Corporation Total reflection mirror
WO2011142845A2 (en) * 2010-01-20 2011-11-17 Arizona Board Of Regents, A Body Corporate Of The State Of Arizona Acting For And On Behalf Of Arizona State University Film bulk acoustic wave resonator-based high energy radiation detectors and methods using the same
WO2021134606A1 (en) * 2019-12-31 2021-07-08 Applied Materials, Inc. Method and apparatus for deposition of piezo-electric materials

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004221622A (ja) * 2002-01-08 2004-08-05 Murata Mfg Co Ltd 圧電共振子、圧電フィルタ、デュプレクサ、通信装置および圧電共振子の製造方法
US6906451B2 (en) 2002-01-08 2005-06-14 Murata Manufacturing Co., Ltd. Piezoelectric resonator, piezoelectric filter, duplexer, communication apparatus, and method for manufacturing piezoelectric resonator
JP2004048639A (ja) * 2002-05-17 2004-02-12 Murata Mfg Co Ltd 圧電共振子及びその製造方法等
KR20030064530A (ko) * 2002-01-28 2003-08-02 엘지이노텍 주식회사 박막 용적 공진기
JP4240445B2 (ja) * 2002-05-31 2009-03-18 独立行政法人産業技術総合研究所 超高配向窒化アルミニウム薄膜を用いた圧電素子とその製造方法
JP2004297693A (ja) 2003-03-28 2004-10-21 Fujitsu Media Device Kk 弾性表面波デバイスの製造方法及び弾性表面波デバイス
KR100950020B1 (ko) * 2007-06-28 2010-03-29 충남대학교산학협력단 AlN 기판 상에 증착된 Ti(N) 박막저항체를 이용한 감쇠기
US8513863B2 (en) 2009-06-11 2013-08-20 Qualcomm Mems Technologies, Inc. Piezoelectric resonator with two layers
JP5471612B2 (ja) * 2009-06-22 2014-04-16 日立金属株式会社 圧電性薄膜素子の製造方法及び圧電薄膜デバイスの製造方法
DE102011114671A1 (de) 2011-09-30 2013-04-04 Osram Opto Semiconductors Gmbh Verfahren zur Herstellung eines optoelektronischen Halbleiterchips und optoelektronischer Halbleiterchip
DE102011114670A1 (de) * 2011-09-30 2013-04-04 Osram Opto Semiconductors Gmbh Verfahren zur Herstellung eines optoelektronischen Halbleiterchips und optoelektronischer Halbleiterchip
WO2016072270A1 (ja) * 2014-11-05 2016-05-12 株式会社村田製作所 圧電デバイス
GB2555835B (en) * 2016-11-11 2018-11-28 Novosound Ltd Ultrasound transducer
CN111809154B (zh) * 2020-06-23 2021-07-23 奥趋光电技术(杭州)有限公司 一种制备高质量硅基氮化铝模板的方法
CN112760615B (zh) * 2020-12-17 2023-04-28 武汉新芯集成电路制造有限公司 一种二氧化硅薄膜及其低温制备方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5748820A (en) * 1980-09-05 1982-03-20 Murata Mfg Co Ltd Surface acoustic wave element
JPS62214167A (ja) * 1986-03-17 1987-09-19 Nec Corp 圧電薄膜の製造方法
US4961820A (en) * 1988-06-09 1990-10-09 Fujitsu Limited Ashing method for removing an organic film on a substance of a semiconductor device under fabrication
JPH03175811A (ja) * 1989-12-05 1991-07-30 Sanyo Electric Co Ltd 弾性表面波素子
JPH03140684A (ja) * 1990-09-28 1991-06-14 Toto Ltd 水栓
JP2789806B2 (ja) * 1990-09-28 1998-08-27 松下電器産業株式会社 軟磁性窒化合金膜の作製方法
JP3110491B2 (ja) * 1991-05-17 2000-11-20 ティーディーケイ株式会社 ダイヤモンド状膜を用いた表面弾性波素子
US5370299A (en) * 1992-04-23 1994-12-06 Sumitomo Electric Industries, Ltd. Bonding tool having diamond head and method of manufacturing the same
JPH06284654A (ja) * 1993-03-25 1994-10-07 Fuji Electric Co Ltd 高圧回転機巻線の絶縁処理方法
US5370029A (en) * 1993-06-01 1994-12-06 Kramer; Justin Electromagnetically operated valve
JPH07141693A (ja) * 1993-09-22 1995-06-02 Toshiba Corp 情報記録媒体
JPH07316809A (ja) 1994-05-27 1995-12-05 Fine Ceramics Center 窒化アルミニウム薄膜の製造方法
JPH08148957A (ja) * 1994-11-18 1996-06-07 Sumitomo Electric Ind Ltd 圧電薄膜ウェハーおよびその製造法
JP3716440B2 (ja) 1995-03-01 2005-11-16 住友電気工業株式会社 ホウ素含有窒化アルミニウム薄膜および製造方法
JP4142753B2 (ja) * 1996-12-26 2008-09-03 株式会社東芝 スパッタターゲット、スパッタ装置、半導体装置およびその製造方法
KR19980083268A (ko) * 1997-05-13 1998-12-05 윤덕용 산화아연/질화알루미늄 2층박막을 이용한 탄성표면과 필터 제조방법
JP3813740B2 (ja) * 1997-07-11 2006-08-23 Tdk株式会社 電子デバイス用基板
US6312568B2 (en) * 1999-12-07 2001-11-06 Applied Materials, Inc. Two-step AIN-PVD for improved film properties

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6521100B2 (en) * 2001-02-02 2003-02-18 Nokia Mobile Phones Ltd Method of producing a piezoelectric thin film and bulk acoustic wave resonator fabricated according to the method
US6548937B1 (en) * 2002-05-01 2003-04-15 Koninklijke Philips Electronics N.V. Array of membrane ultrasound transducers
US7914740B2 (en) * 2003-12-30 2011-03-29 Intel Corporation Biosensor utilizing a resonator having a functionalized surface
US20060133952A1 (en) * 2003-12-30 2006-06-22 Intel Corporation Biosensor utilizing a resonator having a functionalized surface
US7871569B2 (en) * 2003-12-30 2011-01-18 Intel Corporation Biosensor utilizing a resonator having a functionalized surface
US20060133953A1 (en) * 2003-12-30 2006-06-22 Intel Corporation Biosensor utilizing a resonator having a functionalized surface
US20110086438A1 (en) * 2003-12-30 2011-04-14 Yuegang Zhang Biosensor utilizing a resonator having a functionalized surface
US8173436B2 (en) 2003-12-30 2012-05-08 Intel Corporation Biosensor utilizing a resonator having a functionalized surface
US8940234B2 (en) 2003-12-30 2015-01-27 Intel Corporation Biosensor utilizing a resonator having a functionalized surface
US9267944B2 (en) 2003-12-30 2016-02-23 Intel Corporation Biosensor utilizing a resonator having a functionalized surface
US20070211358A1 (en) * 2006-03-10 2007-09-13 Epson Toyocom Corporation Total reflection mirror
WO2011142845A2 (en) * 2010-01-20 2011-11-17 Arizona Board Of Regents, A Body Corporate Of The State Of Arizona Acting For And On Behalf Of Arizona State University Film bulk acoustic wave resonator-based high energy radiation detectors and methods using the same
WO2011142845A3 (en) * 2010-01-20 2012-01-05 Arizona Board Of Regents, A Body Corporate Of The State Of Arizona Acting For And On Behalf Of Arizona State University Film bulk acoustic wave resonator-based high energy radiation detectors and methods using the same
US8704191B2 (en) 2010-01-20 2014-04-22 Arizona Board Of Regents, A Body Corporate Of The State Of Arizona, Acting For And On Behalf Of Arizona State University Film bulk acoustic wave resonator-based high energy radiation detectors and methods using the same
WO2021134606A1 (en) * 2019-12-31 2021-07-08 Applied Materials, Inc. Method and apparatus for deposition of piezo-electric materials

Also Published As

Publication number Publication date
JP2002043646A (ja) 2002-02-08
DE60120052D1 (de) 2006-07-06
EP1174525A3 (en) 2002-01-30
JP3509709B2 (ja) 2004-03-22
US20030141788A1 (en) 2003-07-31
KR20020007212A (ko) 2002-01-26
US6931701B2 (en) 2005-08-23
DE60120052T2 (de) 2006-10-26
EP1174525A2 (en) 2002-01-23
EP1174525B1 (en) 2006-05-31

Similar Documents

Publication Publication Date Title
US6931701B2 (en) Method for manufacturing a thin film
US6954121B2 (en) Method for controlling piezoelectric coupling coefficient in film bulk acoustic resonators and apparatus embodying the method
US4456850A (en) Piezoelectric composite thin film resonator
KR100489828B1 (ko) Fbar 소자 및 그 제조방법
EP1124269A2 (en) Method for producing devices having piezoelectric films
US7320164B2 (en) Method of manufacturing an electronic component
US7180390B2 (en) Electronic component and method for manufacturing the same
Shao et al. High quality co-sputtering alscn thin films for piezoelectric lamb-wave resonators
GB2069278A (en) Surface acoustic wave device
WO2022262256A1 (zh) 压电结构及其制造方法以及包括该压电结构的压电谐振器
US4501987A (en) Surface acoustic wave transducer using a split-finger electrode on a multi-layered substrate
JPS58153412A (ja) 圧電薄膜複合振動子
JP2002076824A (ja) 圧電薄膜共振子、フィルタおよび電子機器
JP2000278078A (ja) 圧電共振子
JP3493315B2 (ja) 圧電共振子
JP2000165188A (ja) 圧電共振子
Liu et al. Boosting Performance of SAW Resonator via AlN/ScAlN Composite Films and Dual Reflectors
JP3498682B2 (ja) 圧電共振子およびそれを用いた圧電フィルタ
JPS61218214A (ja) 圧電薄膜共振子
Huang et al. Effect of AlN film thickness and top electrode materials on characteristics of thin-film bulk acoustic-wave resonator devices
EP3895309A1 (en) Thin film saw device
US6521100B2 (en) Method of producing a piezoelectric thin film and bulk acoustic wave resonator fabricated according to the method
JPH04341005A (ja) ダイヤモンド状膜を用いた表面弾性波素子
JP2000165187A (ja) 圧電共振子
US6798116B2 (en) Piezoelectric resonator and manufacturing method thereof

Legal Events

Date Code Title Description
AS Assignment

Owner name: MURATA MANUFACTURING CO., LTD., JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:YAMADA, HAJIME;TAKEUCHI, MASAKI;REEL/FRAME:011880/0636

Effective date: 20010528

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION