TWI590308B - 基板處理設備、系統及方法 - Google Patents

基板處理設備、系統及方法 Download PDF

Info

Publication number
TWI590308B
TWI590308B TW100126766A TW100126766A TWI590308B TW I590308 B TWI590308 B TW I590308B TW 100126766 A TW100126766 A TW 100126766A TW 100126766 A TW100126766 A TW 100126766A TW I590308 B TWI590308 B TW I590308B
Authority
TW
Taiwan
Prior art keywords
heating element
heater
gas
substrate
coanda effect
Prior art date
Application number
TW100126766A
Other languages
English (en)
Other versions
TW201220365A (en
Inventor
羅那L 柯芬
大丹尼斯 古德溫
傑夫 米騰朵夫
查爾斯J 莫瑞堤
約翰W 羅斯
厄爾 布雷克 山謬斯
Original Assignee
羅倫斯先進半導體科技公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 羅倫斯先進半導體科技公司 filed Critical 羅倫斯先進半導體科技公司
Publication of TW201220365A publication Critical patent/TW201220365A/zh
Application granted granted Critical
Publication of TWI590308B publication Critical patent/TWI590308B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B3/00Ohmic-resistance heating
    • H05B3/20Heating elements having extended surface area substantially in a two-dimensional plane, e.g. plate-heater
    • H05B3/22Heating elements having extended surface area substantially in a two-dimensional plane, e.g. plate-heater non-flexible
    • H05B3/24Heating elements having extended surface area substantially in a two-dimensional plane, e.g. plate-heater non-flexible heating conductor being self-supporting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B2203/00Aspects relating to Ohmic resistive heating covered by group H05B3/00
    • H05B2203/002Heaters using a particular layout for the resistive material or resistive elements
    • H05B2203/004Heaters using a particular layout for the resistive material or resistive elements using zigzag layout

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Description

基板處理設備、系統及方法
本發明係關於用於處理基板的系統、設備、以及方法;更明確地說,係關於化學及/或熱處理用於電子裝置與光學電子裝置的基板。
相關申請案之交互參照
本申請案主張Ronald L. Colvin等人於2010年7月29日所提申的美國專利申請案序號第61/369,047號的權利,其檔案編號為LAS-001,標題為「基板處理設備及系統(SUBSTRATE PROCESSING APPARATUSES AND SYSTEMS)」。本申請案和下面案件有關:Ronald L. Colvin等人於2010年7月30日所提申的美國專利申請案序號第61/369,077號,其檔案編號為LAS-002,標題為「電阻加熱器及加熱器組件(ELECTRICAL RESISTANCE HEATER AND HEATER ASSEMBLIES)」;Ronald L. Colvin等人於2010年7月30日所提申的美國專利申請案序號第61/369,072號,其檔案編號為LAS-003,標題為「利用康達效應來化學處理基板的系統、設備及方法(SYSTEMS,APPARATUSES,AND METHODS FOR CHEMICALLY PROCESSING SUBSTRATES USING THE COANDA EFFECT)」;2000年4月17日所提申的美國專利案第6,331,212號;以及2001年7月7日所提中的美國專利案第6,774,060號。本文以引用的方式將所有此等申請案與專利案的內容完整併入。
基板的化學及/或熱處理被使用在許多應用中,例如,現代微電子裝置製造。該些處理可能包含化學氣相沉積(CVD)以及磊晶半導體沉積(例如,矽磊晶法、鍺化矽磊晶法、以及化合物半導體磊晶法)之類的處理。該些處理可能係利用一或多種氣體來實施,用以在基板(例如,半導體晶圓、平面顯示器基板、太陽能電池基板、以及其它基板)的表面造成反應。
本發明試圖提供能夠克服處理基板中的一或多項缺陷的系統、設備及方法。本發明的一項觀點係一種用於處理基板的系統。該系統包括:一處理反應室;一被設置在該處理反應室之中的基板支撐體;一加熱系統;以及一氣體注入系統。
於一實施例中,該加熱系統包括至少一電阻加熱器,其包括一正弦加熱元件,其具有被設置成用以描述一外徑的複數個波峰(peak)以及被設置成用以描述一內徑的複數個波谷(trough)。該加熱元件的剖面寬度係徑向位置的第一函數而該加熱元件的剖面厚度則係徑向位置的第二函數,俾使得該加熱元件會在每一個徑向位置處提供一實質上恆定的熱通量並且在該加熱元件的相向側表面之間形成一實質上恆定的間隔。
於另一實施例中,該氣體注入系統包括至少一康達效應氣體注入器,其會被設置在該基板支撐體的一周圍邊緣近端處,以便在該(等)基板的表面及/或該基板支撐體上提供一康達效應氣流。
於又一實施例中,該加熱系統包括至少一電阻加熱器,其包括一正弦加熱元件,其具有被設置成用以描述一外徑的複數個波峰以及被設置成用以描述一內徑的複數個波谷。該加熱元件的剖面寬度係徑向位置的第一函數而該加熱元件的剖面厚度則係徑向位置的第二函數,俾使得該加熱元件會在每一個徑向位置處提供一實質上恆定的熱通量並且在該加熱元件的相向側表面之間形成一實質上恆定的間隔。該氣體注入系統包括至少一康達效應氣體注入器,其會被設置在該基板支撐體的一周圍邊緣近端處,以便在該(等)基板的表面及/或該基板支撐體上提供一康達效應氣流。
本發明的另一項觀點係一種處理基板的方法。該方法包括提供一基板以及提供一或多種反應氣體。該方法還包括提供至少一加熱器或加熱器組件,其包括一正弦加熱元件,其具有被設置成用以描述一外徑的複數個波峰以及被設置成用以描述一內徑的複數個波谷。該加熱元件的剖面寬度係徑向位置的第一函數而該加熱元件的剖面厚度則係徑向位置的第二函數,俾使得該加熱元件會在每一個徑向位置處提供一實質上恆定的熱通量並且在該加熱元件的相向側表面之間形成一實質上恆定的間隔。該方法進一步包括利用該至少一加熱器或加熱器組件將熱施加至該基板並且在該基板上創造該等一或多種反應氣體的康達效應氣流。
應該瞭解的係,本發明的應用並不受限於下面說明所提出或圖中所示之器件的構造細節以及排列。本發明可能具有其它實施例並且能夠以各種方式來實行與實現。此外,還應該瞭解的係,本文中所運用的措辭及術語僅係為達說明的目的而不應被視為具有限制意義。
除非在申請專利範圍或本說明書中其它地方提出不同的定義,否則,下面所定義的用詞皆適用於本發明。不論是否明確表示,本文中的所有數值皆被定義為具有「大約(about)」的修飾意義。「大約(about)」一詞大體上係指一數值範圍,熟習本技術的人士會將其視為等效於所列之數字,用以產生實質上相同的特性、功能、結果...等。由一低數值和一高數值所示的數值範圍會被定義為包含該數值範圍裡面所納入的所有數字以及該數值範圍裡面所納入的所有子範圍。舉例來說,範圍10至15包含,但是並不受限於,10、10.1、10.47、11、11.75至12.2、12.5、13至13.8、14、14.025、以及15。
下文中將以在一矽質晶圓上沉積一有摻雜矽之磊晶層為背景來討論本發明之實施例的操作。然而,應該瞭解的係,根據本發明的實施例基本上可以被用來實施可因基板上之層厚度均勻度、組成均勻度、及/或溫度均勻度而獲益的任何基板處理。舉例來說,本發明的實施例可能包含用於沉積由下面材料所製成之層的儀器及/或處理,例如:氮化鎵、砷化鎵、鍺化矽、砷化鎵鋁、磷化銦、鍗化鎘、鍗化汞鎘、碳化矽、氮化矽、二氧化矽、有摻雜的氧化矽、矽酸硼磷玻璃、矽酸磷玻璃、以及其它材料。
現在參考圖1,圖中所示的係根據本發明一實施例的系統100的方塊圖。系統100包含一處理反應室150。處理反應室150可能係一用於處理基板(例如,用於製作電子裝置與光電子裝置的基板)的處理反應室。例如,該等基板可能係:半導體晶圓、單晶體基板(例如,藍寶石晶圓)、以及玻璃基板。系統100還包含一加熱系統200,用以提供熱給該等基板。系統100包含一氣體注入系統250,用以提供一或多種氣體或氣體混合物給該等基板及/或處理反應室150。系統100必要時可能包含一控制系統。如果存在的話,該控制系統可能會與處理反應室150、加熱系統200、以及氣體注入系統250進行通信,以便監視它們的操作,收集資訊,送出及執行用以控制系統100之操作的命令。
現在參考圖2,圖中所示的係根據本發明一實施例的系統101的剖面側視圖。系統101包括一處理反應室,其實質上具有一頂端表面152-1以及一底部表面152-2,如圖2中所示。視情況,一或多個基板154(基板的位置如虛線所示)可能會被放置在該處理反應室的底部,以便進行處理。或者,系統101包含一基板固持器156,其會被設置成用以在該處理反應室之中固持一或多個基板154。必要時,在本發明的某些實施例中,該處理反應室的底部表面152-2會具有一凹陷區153,其至少部分含有基板固持器156。
圖2中所示的系統101包括一旋轉耦合器180,其會連接基板固持器156,以便讓一或多個基板154旋轉。更明確地說,系統101包括旋轉耦合器180,其會被設置成用以旋轉基板支撐體156的表面以及該等一或多個基板154,如果基板支撐體156的表面有該等一或多個基板154的話。或者,系統101可能包括一線性致動器,其會連接基板支撐體156,用以線性移動基板支撐體156的表面。
系統101包括一加熱系統,其包含一加熱器組件202,其會被設置成用以提供熱給該等基板154。系統101具有加熱器組件202,其會被設置成用以面向底部表面152-2的外側,以便從背表面處來加熱該等基板。加熱器組件202包含一或多個電阻加熱器。
現在參考圖3,圖中所示的係根據本發明一實施例的電阻加熱器220的後視圖,其可以使用在加熱器組件202之中。電阻加熱器220係由一正弦加熱元件222所構成,其具有被設置成用以描述一內徑226的複數個波谷224以及被設置成用以描述一外徑230的複數個波峰228。換言之,電阻加熱器220會構成一環體或是一環體區段,其具有內徑226以及外徑230,俾使得加熱器220成為環形,其為一圓形或一圓形的一部分。正弦加熱元件222的剖面寬度係徑向位置的第一函數而正弦加熱元件222的剖面厚度則係徑向位置的第二函數,俾使得正弦加熱元件222會在每一個徑向位置處提供一實質上恆定的熱通量並且在正弦加熱元件222的相向側表面234與236之間形成一實質上恆定的間隔232。正弦加熱元件222的相向側表面之間的間隔232會保持在一選定的恆定值處並且可以在下面需求所決定的限制下保持在最小值:防止發生弧光放電、熱膨脹與收縮限制、以及製造限制。加熱元件222可以表示成從內徑226延伸至外徑230的複數個輪輻233。
正弦加熱元件222的剖面面積係由大體上位於每一個徑向位置處之正弦加熱元件222的剖面寬度乘以大體上位於每一個徑向位置處之正弦加熱元件222的剖面厚度來給定。該剖面面積會以要被加熱的表面的尺寸以及瓦數需求為基礎隨著徑向位置改變。決定該正弦加熱元件之剖面面積的額外因素如下:該正弦加熱元件中的振盪次數、該正弦加熱元件的電阻係數、該正弦加熱元件之相向側之間的間隔、以及該正弦加熱元件的長度。
如上面所示,該加熱元件在每一個徑向位置處的剖面厚度和剖面寬度係該正弦加熱元件上的徑向位置的函數。厚度通常可以f1(1/r)的函數形式來表示,其中r為該正弦加熱元件上的徑向位置而f1為函數。1/r項係用來表示倒數關係。寬度通常可以f2(r)的函數形式來表示,其中r為該正弦加熱元件上的徑向位置而f2為函數。因此,該正弦加熱元件的剖面面積具有(f1(1/r))(f2(r))的函數形式。
對本發明的某些實施例來說,該正弦加熱元件的剖面厚度係推導自下面的等式:
t=2πri 2Gti/(2πr2G-Sr) (1)
其中,t為該加熱元件的剖面厚度;r為該加熱元件上的徑向位置;π為數學常數中的圓周率;ri為該加熱元件的內徑;ti為初始試驗厚度;G為幾何係數,其等於該加熱元件輪輻的角寬度除以該加熱器的角大小;而S為該加熱元件的相向側表面之間的間隔。更明確地說,t與r為變數,而π、ri、ti、G、以及S皆為數值參數。知悉一加熱器的該等數值參數,便可以徑向位置的函數來計算厚度。
熟習本技術的人士將會瞭解,等式1以及該等數值參數雖然係用以取得根據本發明一或多個實施例之加熱器維度的數值代表符的唯一方式的結果;不過,熟習本技術的人士依照本說明書之揭示內容便會瞭解其它方式。等式(1)的推導係利用數學常數π、設計者選擇參數ri、設計者選擇該加熱器的外徑、設計者選擇參數G、以及設計者選擇參數S來完成。該加熱元件在內徑處的初始試驗厚度ti也是設計者選擇參數,但是必要時,可能必須藉由反覆計算來推敲ti,俾使得該加熱元件的阻值更適用於要配合該加熱器使用的電源的完整電壓與電流能力。該電源的能力也是設計者選擇參數。下面會在一範例加熱器設計中提出一種可能的反覆計算程序。
倘若一加熱器的加熱器厚度資料和徑向位置的函數係已知的話,亦可以推導一雷同於等式(1)之等式的該等數值參數或等效常數。此等情形的進一步簡化等式的形式如下:
t=A/(Br2-Sr) (1.1)
其中t、r以及S和上面所提出的相同,A與B則係結合上面所提出之數值參數中的一或多者所產生的數值。
對本發明的某些實施例來說,該正弦加熱元件的剖面寬度係推導自下面的等式:
w=2πGr-S (2)
其中,w為該加熱元件的剖面寬度;r為該加熱元件上的徑向位置;π為數學常數中的圓周率;G為幾何係數,其等於該加熱元件輪輻的角寬度除以該加熱器的角大小;而S為該加熱元件的相向側表面之間的間隔。在本發明的一或多個實施例中會利用該加熱元件輪輻的角寬度、該加熱器的角大小、以及該間隔等設計者指定數值來算出以徑向位置為函數的該加熱元件的寬度。
有各式各樣的材料可用於正弦加熱元件222。根據本發明的一實施例,正弦加熱元件222包括一防火導電體。正弦加熱元件222可能包括石墨,例如,熱解石墨。亦可以作進一步的改質,例如,以碳化矽之類的材料來塗佈熱解石墨用以產生正弦加熱元件222,舉例來說,其具有塗佈著碳化矽的熱解石墨導體。可用於正弦加熱元件222的其它材料的範例包含,但是並不受限於:鎳鉻合金、鉬、鉭、鎢、以及用於電阻加熱的其它材料。
根據本發明的一實施例,正弦加熱元件222的相向側表面之間的間隔232會在正弦加熱元件222之操作期間處於真空或是被氣體填充。
圖3所示的電阻加熱器220包括兩個非必要的電接點238,它們約略處於正弦加熱元件222的每一個末端處。應該瞭解的係,電加熱器220亦可使用其它手段來達成接觸的目的。圖3中實施例所示的電接點238可能係一被加工製作成正弦加熱元件222之一部分的垂片。必要時,電接點238會被配向成實質上垂直於電阻加熱器220的表面。熟習本技術的人士依照本發明便會明白,電接點238亦可以採用其它配向。電接點238可被用來施加DC或AC電流,使其流過正弦加熱元件222。
現在參考圖3-1,圖中所示的係可以使用在根據本發明一實施例的加熱器組件202之中的電阻加熱器220-1的透視圖。電阻加熱器220-1基本上和圖3中所述的電阻加熱器220相同,不同的係,電阻加熱器220-1包含一非必要的電轉接器240,其會耦合蜿蜒狀電導體222之每一個末端的電接點238。
根據本發明的一實施例,電轉接器240會被形成以將按壓配接(press-fit)(在本技術熟知稱為干涉配接頭(interference fit))耦合至電接點238。對本發明的某些實施例來說,蜿蜒狀加熱元件222可能係由熱解石墨製成;必要時,在該些實施例中,電轉接器240亦可能係由熱解石墨所製成。視情況,電轉接器240亦可能係由同樣適合電連接但非熱解石墨的材料所製成。
本案發明人已經發現,在按壓配接耦合配置以及碳化矽塗佈製程中,使用熱解石墨作為正弦加熱元件222並且使用熱解石墨作為電轉接器240,可以在本發明的實施例中發生加乘的好處。更明確地說,將一碳化矽熱塗料塗敷至正弦加熱元件222與按壓配接耦合的電轉接器240會以低接觸阻值在正弦加熱元件222以及電轉接器240之間產生一機械性強烈的連結。因此,會形成一導電性的強烈機械性的連結,而且除了按壓配接耦合之外,不需要複雜的加工步驟便可以達成。
用於形成該等碳化矽塗料的沉積條件和通常用於塗佈熱解石墨的條件相同。一般來說,一矽源以及一碳源會在攝氏1200度的高溫處進行反應,以便產生碳化矽沉積塗料。
現在參考圖3-2以及圖3-3,圖3-2中所示的係適用於本發明一或多個實施例的電轉接器240-1的透視圖,而圖3-3則為剖面透視圖。電轉接器240-1係一實質上剛性的主體,其係由一合宜的電導體製成,例如,熱解石墨或是適合用於電阻加熱器之電接點的其它材料。電轉接器240-1具有一螺孔240-2,其具有螺紋用以進行螺旋連接。電轉接器240-1具有一按壓配接孔240-3,其會被配置成用以和電阻加熱器(例如,但是並不受限於電阻加熱器220)的電接點進行按壓配接耦合。
現在參考圖4,圖中所示的係可以被使用在根據本發明一實施例的加熱器組件202之中的電阻加熱器242的後視圖。電阻加熱器242係由一正弦加熱元件222所構成,其具有被設置成用以描述一內徑226的複數個波谷224以及被設置成用以描述一外徑230的複數個波峰228。換言之,電阻加熱器242會構成一環體區段,其具有內徑226以及外徑230,俾使得加熱器242成為一圓形的一部分。正弦加熱元件222的剖面寬度係徑向位置的第一函數而正弦加熱元件222的剖面厚度則係徑向位置的第二函數,俾使得正弦加熱元件222會在每一個徑向位置處提供一實質上恆定的熱通量並且在正弦加熱元件222的相向側表面234與236之間形成一實質上恆定的間隔232。正弦加熱元件222的相向側表面之間的間隔232會保持在一選定的恆定值處並且可以在下面需求所決定的限制下保持在最小值:防止發生弧光放電、熱膨脹與收縮限制、以及製造限制。
正弦加熱元件222的剖面面積係由大體上位於每一個徑向位置處之正弦加熱元件222的剖面寬度乘以大體上位於每一個徑向位置處之正弦加熱元件222的剖面厚度來給定。該剖面面積會以要被加熱的表面的尺寸以及瓦數需求為基礎保持在一選定的恆定值處。決定該正弦加熱元件之剖面面積的額外因素如下:該正弦加熱元件中的振盪次數、該加熱元件的電阻係數、該正弦加熱元件之相向側之間的間隔、以及該正弦加熱元件的長度。
如上面所示,該加熱元件在每一個徑向位置處的剖面厚度和剖面寬度係該正弦加熱元件上的徑向位置的函數。厚度通常可以f1(1/r)的函數形式來表示,其中r為該正弦加熱元件上的徑向位置而f1為函數。1/r項係用來表示倒數關係。寬度通常可以f2(r)的函數形式來表示,其中r為該正弦加熱元件上的徑向位置而f2為函數。因此,該正弦加熱元件的剖面面積具有(f1(1/r))(f2(r))的函數形式。
對本發明的某些實施例來說,該正弦加熱元件的剖面厚度係推導自下面的等式:
t=2πri 2Gti/(2πr2G-Sr) (1)
其中,t為該加熱元件的剖面厚度;r為該加熱元件上的徑向位置;π為數學常數中的圓周率;ri為該加熱元件的內徑;ti為初始試驗厚度;G為幾何係數,其等於該加熱元件輪輻的角寬度除以該加熱器的角大小;而S為該加熱元件的相向側表面之間的間隔。更明確地說,t與r為變數,而π、ri、ti、G、以及S皆為數值參數。知悉一加熱器的該等數值參數,便可以徑向位置的函數來計算厚度。
熟習本技術的人士將會瞭解,等式1以及該等數值參數雖然係用以取得根據本發明一或多個實施例之加熱器維度的數值代表符的唯一方式的結果;不過,熟習本技術的人士依照本說明書之揭示內容便會瞭解其它方式。等式(1)的推導係利用數學常數π、設計者選擇參數ri、設計者選擇該加熱器的外徑、設計者選擇參數G、以及設計者選擇參數S來完成。該加熱元件在內徑處的初始試驗厚度ti也是設計者選擇參數,但是必要時,可能必須藉由反覆計算來推敲ti,俾使得該加熱元件的阻值更適用於要配合該加熱器使用的電源的完整電壓與電流能力。該電源的能力也是設計者選擇參數。下面會在一範例加熱器設計中提出一種可能的反覆計算程序。
倘若一加熱器的加熱器厚度資料和徑向位置的函數係已知的話,亦可以推導一雷同於等式(1)之等式的該等數值參數或等效常數。此等情形的進一步簡化等式的形式如下:
t=A/(Br2-Sr) (1.1)
其中,t、r以及S和上面所提出的相同,A與B則係結合上面所提出之數值參數中的一或多者所產生的數值。
對本發明的某些實施例來說,該正弦加熱元件的剖面寬度係推導自下面的等式:
w=2πGr-S (2)
其中,w為該加熱元件的剖面寬度;r為該加熱元件上的徑向位置;π為數學常數中的圓周率;G為幾何係數,其等於該加熱元件輪輻的角寬度除以該加熱器的角大小;而S為該加熱元件的相向側表面之間的間隔。在本發明的一或多個實施例中會利用該加熱元件輪輻的角寬度、該加熱器的角大小、以及該間隔等設計者指定數值來算出以徑向位置為函數的該加熱元件的寬度。
有各式各樣的材料可用於正弦加熱元件222。根據本發明的一實施例,正弦加熱元件222包括一防火導電體。正弦加熱元件222可能包括石墨,例如,熱解石墨。亦可以作進一步的改質,例如,以碳化矽之類的材料來塗佈熱解石墨用以產生正弦加熱元件222,舉例來說,其具有塗佈著碳化矽的熱解石墨導體。可用於正弦加熱元件222的其它材料的範例包含,但是並不受限於:鎳鉻合金、鉬、鉭、鎢、以及用於電阻加熱的其它材料。
圖4所示的電阻加熱器242包括兩個非必要的電接點238,它們約略處於正弦加熱元件222的每一個末端處。應該瞭解的係,電加熱器220亦可使用其它手段來達成接觸的目的。電接點238可能係一被加工製作成正弦加熱元件222之一部分的垂片。必要時,電接點238會被配向成實質上垂直於電阻加熱器220的表面。熟習本技術的人士依照本發明便會明白,電接點238亦可以採用其它配向。電接點238可被用來施加DC或AC電流,使其流過正弦加熱元件222。
圖4所示的係波峰228的一非必要配置。明確地說,圖4中雖然顯示兩個波峰短於週遭的波峰;不過,亦可能是該等波峰中的一或多者短於週遭的波峰。此種非必要配置能夠被用來容納可用於操作與監視電阻加熱器242的其它結構,例如,附接結構、感測器、固持器。亦可對波槽224做雷同的修正。
現在參考圖4-1,圖中所示的係電阻加熱器242-1的透視後視圖。電阻加熱器242-1基本上和圖4中所述的電阻加熱器242相同,不同的係,電阻加熱器242-1包含一非必要的電轉接器240,其會耦合正弦電導體222之每一個末端的電接點238。
根據本發明的一實施例,電轉接器240會被形成以將按壓配接(在本技術熟知稱為干涉配接頭)耦合至電接點238。對本發明的某些實施例來說,蜿蜒狀加熱元件222可能係由熱解石墨製成;必要時,在該些實施例中,電轉接器240亦可能係由熱解石墨所製成。視情況,電轉接器240亦可能係由同樣適合電連接但非熱解石墨的材料所製成。
現在參考圖5,圖中所示的係根據本發明一實施例使用在系統100或系統101之中的加熱器組件244的前視圖。加熱器組件244包括複數個電阻加熱器,它們的形狀為環體或是環體區段。更明確地說,加熱器組件244包括一位於中心的第一加熱器246。視情況,第一加熱器246可能係一環體加熱器或是一環體加熱器中的一區段。另一種選擇性作法係,第一加熱器246可能係一基本上和如圖3中所述之電阻加熱器220或是如圖4中所述之多個電阻加熱器242之組合相同的環體加熱器。或者,第一加熱器246亦可能具有上面所述之電阻加熱器220以及電阻加熱器242以外的配置。圖5中所示之本發明的實施例具有第一加熱器246,其包含一加熱元件,其具有和電阻加熱器220以及電阻加熱器242不同的配置。
加熱器組件244還進一步包括一圍繞第一加熱器246的電阻加熱器220。電阻加熱器220基本上和圖3所述之電阻加熱器220相同。
加熱器組件244還進一步包括12個電阻加熱器242,它們具有四分之一環體區段的形狀並且會被設置成用以形成一實質上圓形受熱區的實質上平面的同心環陣列。電阻加熱器242基本上和圖4所述之電阻加熱器242相同。應該瞭解的係,本發明的其它實施例亦可能並不使用12個電阻加熱器242而使用其它數量的電阻加熱器242,而且環體加熱器以及環體加熱器區段的組合方式亦可能不同於圖5所述者。明確地說,在本發明的實施例中可能會使用12個以上的電阻加熱器242,或者在根據本發明實施例的加熱器組件中亦可能會使用12個以下的電阻加熱器242。同樣地,在根據本發明實施例的加熱器組件中可能會使用一個以上的電阻加熱器220,或者在本發明的實施例中亦可能沒有使用任何電阻加熱器220。
根據本發明實施例的加熱器組件包含選擇自由下面所組成之群中的至少一電阻加熱器:電阻加熱器220、電阻加熱器220-1、電阻加熱器242、以及電阻加熱器242-1。
現在參考圖5-1,圖中所示的係根據本發明一實施例的加熱器組件244-1的後視圖。加熱器組件244-1包括複數個電阻加熱器,它們的形狀為環體或是環體區段。更明確地說,加熱器組件244-1包括一位於中心的第一加熱器246-1。第一加熱器246-1包括實質上如上面所述的電接點(在圖5-1中看不見電接點)以及電轉接器(例如,實質上如上面所述之被耦合至該等電接點的電轉接器240-1)。視情況,第一加熱器246-1可能係一環體加熱器或是一環體加熱器中的一區段。另一種選擇性作法係,第一加熱器246-1可能係一基本上和如圖3-1中所述之電阻加熱器220-1或是如圖4-1中所述之多個電阻加熱器242-1之組合相同的環體加熱器。或者,第一加熱器246-1亦可能具有上面所述之電阻加熱器220-1以及電阻加熱器242-1以外的配置。圖5-1中所示之本發明的實施例具有第一加熱器246-1,其包含一加熱元件,其具有和電阻加熱器220-1以及電阻加熱器242-1不同的配置。
加熱器組件244-1還進一步包括一圍繞第一加熱器246-1的電阻加熱器220-1。電阻加熱器220-1基本上和圖3-1所述之電阻加熱器220-1相同。電阻加熱器220-1的電轉接器240-1亦顯示在圖5-1中。
加熱器組件244-1還進一步包括12個電阻加熱器242-1,它們具有四分之一環體區段的形狀並且會被設置成用以形成一實質上圓形受熱區的實質上平面的同心環陣列。電阻加熱器242-1基本上和圖4-1所述之電阻加熱器242-1相同。電阻加熱器242-1的電轉接器240-1亦顯示在圖5-1中。
根據本發明另一實施例的設備係一包括一熱解石墨加熱元件的電阻加熱器。該熱解石墨加熱元件具有一或多個熱解石墨電接點。該電阻加熱器還進一步包括一或多個熱解石墨電轉接器,例如,上面所述的電轉接器240以及電轉接器240-1。該等一或多個電轉接器會被按壓配接耦合至該等一或多個熱解石墨電接點。該電阻加熱器還進一步包含一層碳化矽,用以塗佈該加熱元件及電轉接器。該碳化矽塗料係在將該等一或多個熱解石墨電接點按壓配接耦合至該等一或多個電轉接器之後才會被塗敷。該碳化矽塗料可以利用一高溫化學氣相沉積製程來塗敷。
現在參考圖6,圖中所示的係根據本發明一實施例的系統103的剖面側視圖。系統103包括一處理反應室,其具有一頂端表面152-1以及一底部表面152-2。視情況,一或多個基板154(基板的位置如虛線所示)可能會被放置在該處理反應室的底部,以便進行處理。或者,系統103包含一基板固持器156,其會被設置成用以在該處理反應室之中固持一或多個基板154。必要時,在本發明的某些實施例中,該處理反應室的底部表面152-2會具有一凹陷區153,其至少部分含有基板固持器156。系統103包括一實質上如上面所述的旋轉耦合器180以及一實質上如上面所述的加熱器組件202。系統103基本上和系統101相同,如上面所述,但是加入至少一康達效應氣體注入器252。
更明確地說,系統103包括至少一康達效應氣體注入器252,其會被設置在該基板支撐體156的一周圍邊緣近端處,以便在基板支撐體156的表面及/或該等一或多個基板154上方提供一康達效應氣流。根據本發明的某些實施例,系統103還進一步包括一氣流控制系統(圖3中並未顯示),其會與該至少一康達效應氣體注入器252進行流體交換,以便提供一或多種反應氣體給該至少一康達效應氣體注入器252。
於系統103的一實施例中,康達效應氣體注入器252具有一氣體進入埠253、一氣流通道254、以及一氣體離開埠255。氣體離開埠255會與氣流通道254進行流體交換,而氣流通道254則會與氣體進入埠253進行流體交換。氣流通道254係由康達效應氣體注入器252的凸表面254-1所形成,用以產生該康達效應氣流。更明確地說,凸表面254-1會被形成且被設置成用於以切線方式接近一約略位於基板154之表面及/或基板固持器156之表面處的平面。根據本發明的一實施例,凸表面254-1係一彎曲表面。必要時,在其它實施例中,凸表面254-1係由一或多個傾斜表面所構成,每一個該等傾斜表面會有些微或者沒有任何曲率。
該康達效應氣流係因氣體流過凸表面254-1上方所造成,其會導致該氣流和凸表面254-1之間的附著作用,俾使得該氣流實質上會遵循凸表面254-1。本案發明人已經發現,離開康達效應氣體注入器252的氣體似乎會繼續附著特定距離而併入基板固持器156之表面的至少一部分及/或該等一或多個基板154之表面的至少一部分。本案發明人相信,可能的解釋係氣流和表面之間的附著有助於讓該氣流中的一或多種反應化學物保持更接近該基板的表面,俾使得該等一或多種反應化學物會更有效地用於處理該等基板的表面;或者,在產生使用康達效應的好處時亦可能會涉及一或多種其它現象。換言之,該康達效應氣流似乎會以加乘的效果來與該基板的表面產生相互作用,以便保有該基板之表面附近的該等一或多種反應化學物更長的時間區間。康達效應的討論可以在Imants Reba在1966年6月於科學美國(Scientific American),第214冊,第6號中所發表的「康達效應的應用(Applications of the Coanda Effect)」以及H. Coanda所獲頒的美國專利案第2,052,869號中發現,本文針對所有目的以引用的方式將其此等案件的內容併入。
必要時,於本發明的某些實施例中,該至少一康達效應氣體注入器252具有一形狀為矩形的氣體離開埠255,用以形成一狹縫。或者,該氣體離開埠255亦可能為正方形或是另一種幾何形狀。
必要時,於本發明的某些實施例中,該至少一康達效應氣體注入器252會被設置在該處理反應室之中,俾使得氣體離開埠255實質上會與該等一或多個基板154的表面共平面或是位於其上方及/或實質上會與基板支撐體156的表面共平面或是位於其上方。另一種選擇性作法係,該至少一康達效應氣體注入器252會被設置在該處理反應室之中,俾使得氣體離開埠255實質上會與該處理反應室的底部表面152-2共平面或是位於其上方。
現在參考圖7與圖7-1,圖中所示的分別係本發明的一或多個實施例的康達效應氣體注入器252-1的俯視圖和剖面側視圖。虛線係用來圖解隱藏線。必要時,康達效應氣體注入器252-1可以用來取代針對圖6中所示之本發明實施例所述的該至少一康達效應氣體注入器252。康達效應氣體注入器252-1雷同於該至少一康達效應氣體注入器252。
康達效應氣體注入器252-1係一實質上剛性結構,其具有一氣體進入埠253、一氣流通道254、以及一氣體離開埠255。康達效應氣體注入器252-1還具有一通風區(plenum)256,其並不存在於該至少一康達效應氣體注入器252之中。氣體離開埠255會透過氣流通道254來與通風區256進行流體交換。氣體進入埠253則會與通風區256進行流體交換。氣流通道254係由康達效應氣體注入器252-1的至少一凸表面254-1所形成,用以產生該康達效應氣流。
在操作期間,康達效應氣體注入器252-1會在氣體進入埠253處接收一氣體或一氣體混合物,該氣體會從氣體進入埠253處流入通風區256之中並且繼續流入氣流通道254之中,通過凸表面254-1上方,並且在氣體離開埠255處離開。
現在參考圖8與圖8-1,圖中所示的係根據本發明一實施例,用以處理基板的系統106的剖面側視圖以及系統106之內部的俯視圖。系統106包括一處理反應室,其包含一底部表面152-2以及一頂端表面(圖8-1中並未顯示)。系統106包含一基板支撐體156,其會被設置成用以在該處理反應室之中固持一或多個基板154。舉例來說,圖8與圖8-1使用虛線來表示三個基板154如何被定位在基板支撐體156之上以進行處理。必要時,在本發明的某些實施例中,該處理反應室的底部表面152-2會具有一凹陷區(圖8-1中並未顯示),其至少部分含有基板固持器156。系統106包括一外反應室170,其具有一排氣埠172。外反應室170實質上會封閉該處理反應室。
系統106包括一非必要的旋轉耦合器,其會連接基板支撐體156,用以旋轉基板支撐體156的表面以及該等一或多個基板154,如果基板支撐體156的表面有該等一或多個基板154的話。或者,系統106可能包括一線性致動器,其會連接基板支撐體156,用以線性移動基板支撐體156的表面。
系統106包括一加熱系統,其包含一加熱器組件202,其會被設置成用以提供熱給基板154。系統106具有加熱器組件202,其會被設置成用以面向底部表面152-2的外側,以便從背表面處來加熱該等基板。視情況,加熱器組件202可能會被設置成用以面向頂端表面152-1的外側,以便從正表面處來加熱該等基板。如圖8中所示的又一選擇性作法,系統106具有一被設置在頂端表面152-1上方的加熱器組件202以及一被設置在底部表面152-2下方的加熱器組件202,俾使該等基板能夠從背表面以及正表面處加熱。加熱器組件202包含實質上如上面所述的一或多個電阻加熱器。
更明確地說,加熱器組件202可能包括如上面所述的一或多個電阻加熱器220、如上述的一或多個電阻加熱器220-1、如上述的一或多個電阻加熱器242、如上面所述的一或多個電阻加熱器242-1、及/或如上面所述的一或多個第 一加熱器246-1。例如,加熱器組件202可能係如上面所述的加熱器組件244或者如上面所述的加熱器組件244-1。
系統106包括至少一康達效應氣體注入器252-1,其會被設置在該基板支撐體156的一周圍邊緣近端處,以便在基板支撐體156的表面及/或該等一或多個基板154上方提供一康達效應氣流。圖8-1顯示五個康達效應氣體注入器252-1。根據本發明的某些實施例,系統106還進一步包括一氣流控制系統(圖8與圖8-1中並未顯示),其會與該至少一康達效應氣體注入器252-1進行流體交換,以便提供一或多種反應氣體給該至少一康達效應氣體注入器252-1。
康達效應氣體注入器252-1基本上和上面圖7與圖7-1所述者相同。或者,系統106可能包括一或多個康達效應氣體注入器或是多個康達效應氣體注入器之組合,例如,上面圖6所述的康達效應氣體注入器252。
系統106包括至少一輔助氣體注入器270,其會被設置成用以在該處理反應室的底部表面152-2上提供一或多種氣體或氣體混合物。更明確地說,該至少一輔助氣體注入器270會被排列成用以讓一氣體或氣體混合物流過基板154及/或基板支撐體156上方。圖8-1具有五個輔助氣體注入器270。該至少一輔助氣體注入器270並非康達效應氣體注入器。該至少一輔助氣體注入器270可能係一標準氣體注入器,例如,通常用來處理具有鑿孔以便讓氣體流過的基板(例如,一實心體)的氣體注入器,例如,軟管(例如,具有蓮蓬頭或噴嘴的軟管),或者例如,另一類型的噴嘴。
系統106所示實施例雖然在該至少一康達效應氣體注入器252-1的後方定位該至少一輔助氣體注入器270;但是應該瞭解:在本發明其它實施例中,該至少一輔助氣體注入器270和該至少一康達效應氣體注入器252-1的相對位置與配向可能會不同於圖8與圖8-1中所示的排列。
在本發明某些實施例中可因組合使用該至少一康達效應氣體注入器252-1以及該至少一輔助注入器270而達成潛在的好處。換言之,來自該至少一康達效應氣體注入器252-1的氣流以及來自該至少一輔助注入器270的氣流之間的加乘相互作用可產生改善的處理結果。
必要時,於本發明的某些實施例中,系統(例如,系統101、系統103、以及系統106)可能會被配置成具有熱壁處理反應室,其中會設置著該等電阻加熱器,以便加熱該等基板154、該基板支撐體156、以及該處理反應室的壁部(其包含頂端表面152-1以及底部表面152-2)。或者,該系統可能係一冷壁系統,其會被配置成用以讓該等電阻加熱器實質上僅會加熱該等基板154及/或該基板支撐體156,而實質上不會加熱該處理反應室的壁部及/或具有冷卻的處理反應室壁部。本發明某些實施例的潛在好處係,康達效應氣流可以減少因該等基板上方的溫度變化所造成的溫度誘發對流的某些效應。
在本發明的某些實施例中,該處理反應室、該基板支撐體、該外反應室、該加熱器組件、以及該等康達效應氣體注入器皆包括適合處理半導體裝置的材料。適合用於本發明實施例的材料範例包含,但是並不受限於:氧化鋁、氮化鋁、碳化矽、氮化矽、二氧化矽(例如,石英或熔融矽砂)、不鏽鋼、石墨、以及塗佈著碳化矽的石墨。
上述系統與設備可以用於根據本發明實施例的各式各樣處理。現在參考圖9,圖中所示的係根據本發明一實施例的示範性處理流程圖291。示範性處理流程圖291包括一連串非竭盡的步驟,亦可於其中加入額外的步驟(圖中並未顯示)。熟習本技術的人士便會明瞭許多變化例、修正例、以及替代例。圖9所示之用以在一基板上實施化學反應的示範性處理流程圖291包括提供一基板293。示範性處理流程圖291包括提供至少一加熱器或加熱器組件295,如上述及圖2、圖3、圖3-1、圖4、圖4-1、圖5及圖5-1中所示。適用於示範性處理流程圖291的加熱器的特定範例為電阻加熱器220、電阻加熱器220-1、電阻加熱器242、電阻加熱器242-1及第一加熱器246-1。示範性處理流程圖291包括提供一或多種反應氣體297。示範性處理流程圖291還進一步包括利用該至少一加熱器或加熱組件將熱施加至該基板並且在該基板上方創造該等一或多種反應氣體的康達效應氣流299。該熱可以讓該基板達到處理溫度及/或讓該基板保持在某一處理的處理溫度處。示範性處理流程圖291可能還包括保持足以讓該化學反應發生的額外處理條件。
必要時,示範性處理流程圖291可能還包含本發明額外實施例的一或多個修正例。示範性修正例可能包含,但是並不受限於下面所述者。在299期間旋轉該基板。提供該基板293包括提供一半導體晶圓。提供該基板293包括提供一用於製造電子或光電子裝置的基板。提供該基板293包括提供一矽晶圓。提供該等一或多種反應氣體297包括提供用於半導體沉積的一或多種前驅體。提供該等一或多種反應氣體297包括提供一矽前驅體。提供該等一或多種反應氣體297包括提供一選擇自由下面所組成之群中的化合物:矽烷、二氯矽烷、三氯矽烷、以及四氯化矽。提供該等一或多種反應氣體297包括提供一用於一半導體的摻雜物化合物。提供該等一或多種反應氣體297包括提供一或多種前驅體,用以沉積IV族元素半導體、IV族元素化合物半導體、III-V族元素半導體、或是II-VI族元素半導體。於保持足以讓該化學反應發生的條件時在該基板上方創造該等一或多種反應氣體的康達效應氣流299包括用於沉積磊晶矽的條件。於保持足以讓該化學反應發生的條件時在該基板上方創造該等一或多種反應氣體的康達效應氣流299包括用於沉積一磊晶材料(例如,但是並不受限於:鍗化鎘、鍗化汞鎘、砷化鎵、氮化鎵、銻化銦、磷化銦、矽、鍺化矽、以及碳化矽)層的條件。
明顯的係,本發明的實施例能夠用於各式各樣的處理,例如,用於半導體裝置製作的處理。改變該等選定處理氣體以及處理條件會讓本發明的實施例得以併入下面的基板處理,例如:用於磊晶層、多晶層、奈米晶層、或是非晶層的沉積處理;基板蝕刻或清洗的處理;基板氧化;及/或基板摻雜。
本發明的實施例還包含用以成長各種材料(例如,元素材料、化合物、化合物半導體、以及化合物介電材料)層的方法與設備。於化合物半導體應用的較佳實施例中,該等康達效應氣體注入器中的至少一者會被連接,以便提供一包括下面元素中至少一者的氣流:硼、鋁、鎵、銦、碳、矽、鍺、錫、鉛、氮、磷、砷、銻、硫、硒、鍗、汞、鎘、以及鋅。視情況,一或多個康達效應氣體注入器及/或一或多個輔助氣體注入器會被連接,以便提供一氣流或氣體混合物流,例如:氫氣、惰性氣體、混合摻雜物的氫氣、或是混合摻雜物的惰性氣體。
根據本發明實施例的方法可能包含使用各式各樣處理氣體,例如,上面所述的處理氣體。該方法中所使用的氣體和處理相依。於一實施例中,該氣流包括矽源氣體、摻雜物氣體、以及氫氣。
在前面的說明書中雖然已經參考特定實施例來說明本發明;然而,熟習本技術的人士便會明白,可以進行各種修正與改變,其並不會脫離下面申請專利範圍中所提出之本發明的範疇。據此,本說明書和圖式應被視為解釋性,而沒有限制的意義,而且所有此等修正皆希望涵蓋在本發明的範疇裡面。
接著要提出的係可用來設計根據本發明一實施例的加熱器的示範性程序。此種設計的加熱器的配置雷同於圖1中所示的環形加熱器。該加熱器的輸入資料如下:內徑:2.75英吋;外徑:4.85英吋;相向側表面之間的間隔:0.060英吋;加熱器材料:電阻係數約0.00049歐姆英吋的模塑石墨;加熱器角大小:實質上為360°;輪輻數量:101個;輪輻+間隔的角寬度:3.545度;區段長度:0.21英吋;而初始試驗厚度:0.135英吋。此範例的輸入資料會配合等式(1)與等式(2)來計算以等於該區段長度的數額遞增的多個徑向位置處的加熱元件剖面寬度以及加熱元件剖面厚度,以便提供從該加熱器的內徑至外徑範圍中的計算。該等計算如表1中所示。在此範例中,會在該等輪輻的一者中的11個等間隔徑向位置處(包含內徑與外徑)來實施該等計算。
表1中還顯示額外的相關計算,例如,以徑向位置為函數的該加熱元件的剖面面積以及該等分段長度中每一者的電阻。該等區段長度的電阻總和便是該輪輻的總電阻,而且乘以輪輻的數量之後便決定該加熱元件的總電阻。該些計算還有助於向設計者顯示一種能夠用來修正該加熱器之設計的可能方式,俾使得其更匹配該電源能力的所希或最佳使用率。明確地說,一設計者能夠選擇不同的初始試驗厚度並且重複進行該等計算,用以取得該加熱元件的總電阻,以便和配合一電源供應器來使用的所希或最佳電阻作比較。此反覆處理會持續進行直到該加熱元件的總電阻和配合該電源來使用的電阻達到最佳或所希匹配為止。
上面雖然已經針對特定的實施例說明過本發明的好處、其它優點及問題的解決方案;然而,該等好處、優點、問題的解決方案及可導致任何好處、優點、或解決方案發生或突顯其重要性之任何(多個)元件均不應被視為任何或所有申請專利範圍的關鍵、必要、或基本特點或元件。
本文中所使用的「包括」、「包含」、「具有」、「至少一者」等用詞或是它們的任何其它變化用詞皆希望具有非竭盡包容之意。舉例來說,一包括一元件列表的處理、方法、物品、或是設備未必僅受限於該些元件,還可能包含沒有明確列出或並非此處理、方法、物品、或是設備固有的其它元件。進一步言之,除非明確提及具有相反之意,否則,「或(or)」所指的係包容性或(inclusive or)而不是竭盡性或(exclusive or)。舉例來說,下面任何一者皆符合條件A或B:A為真(或存在)而B為偽(或不存在)、A為偽(或不存在)而B為真(或存在)、以及A與B兩者皆為真(或存在)。
本文雖然已經說明並圖解本發明的特定實施例;不過,顯見的係,可以對本文中明確圖解與說明的實施例的細節進行變更,其並不會脫離在隨附申請專利範圍以及它們的合法等效範圍之中所定義之本發明的真實精神與範疇。
100...系統
101...系統
103...系統
106...系統
150...處理反應室
152-1...頂端表面
152-2...底部表面
153...凹陷區
154...基板
156...基板固持器/基板支撐體
170...外反應室
172...排氣埠
180...旋轉耦合器
200...加熱系統
202...加熱器組件
220...電阻加熱器
220-1...電阻加熱器
222...正弦加熱元件/蜿蜒狀電導體
224...波谷
226...內徑
228...波峰
230...外徑
232...間隔
233...輪輻
234...相向側表面
236...相向側表面
238...電接點
240...電轉接器
240-1...電轉接器
240-2...螺孔
240-3...按壓配接孔
242...電阻加熱器
242-1...電阻加熱器
244...加熱器組件
244-1...加熱器組件
246...第一加熱器
246-1...第一加熱器
250...氣體注入系統
252...康達效應氣體注入器
252-1...康達效應氣體注入器
253...氣體進入埠
254...氣流通道
254-1...凸表面
255...氣體離開埠
256...通風區
270...輔助氣體注入器
291...處理流程圖
293...步驟
295...步驟
297...步驟
299...步驟
圖1所示的係本發明一實施例的方塊圖。
圖2所示的係本發明一實施例的剖面側視圖。
圖3所示的係用於本發明一實施例的設備的後視圖。
圖3-1所示的係用於本發明一實施例的設備的透視後視圖。
圖3-2所示的係根據本發明一實施例的電轉接器的透視圖。
圖3-3所示的係根據本發明一實施例的電轉接器的透視剖視圖。
圖4所示的係用於本發明一實施例的設備的後視圖。
圖4-1所示的係用於本發明一實施例的設備的透視後視圖。
圖5所示的係用於本發明一實施例的設備的前視圖。
圖5-1所示的係用於本發明一實施例的設備的後視圖。
圖6所示的係本發明一實施例的剖面側視圖。
圖7所示的係用於本發明一實施例的設備的俯視圖。
圖7-1所示的係圖7中所示之設備的剖面側視圖。
圖8所示的係本發明一實施例的剖面側視圖。
圖8-1所示的係用於本發明一實施例的內部的俯視圖。
圖9所示的係本發明一實施例的處理流程圖。
熟練的技術人士便會明白,圖中所示的元件係以簡化與清楚為目的來顯示而沒有依照比例繪製。舉例來說,圖中某些元件的維度可能會相對於其它元件被放大,以便幫助改善對本發明之實施例的理解。
106‧‧‧系統
152-1‧‧‧頂端表面
152-2‧‧‧底部表面
153‧‧‧凹陷區
154‧‧‧基板
156‧‧‧基板固持器/基板支撐體
170‧‧‧外反應室
172‧‧‧排氣埠
180‧‧‧旋轉耦合器
202‧‧‧加熱器組件
252-1‧‧‧康達效應氣體注入器
253‧‧‧氣體進入埠
254‧‧‧氣流通道
255‧‧‧氣體離開埠
256‧‧‧通風區
270‧‧‧輔助氣體注入器

Claims (28)

  1. 一種用於處理一或多個基板的系統,該系統包括:一處理反應室;一被設置在該處理反應室之中的基板支撐體,用以固持一或多個基板;以及至少一電阻加熱器,其包括一正弦加熱元件,其具有被設置成用以描述一外徑的複數個波峰以及被設置成用以描述一內徑的複數個波谷,該加熱元件的剖面寬度係徑向位置的第一函數而該加熱元件的剖面厚度則係徑向位置的第二函數,俾使得該加熱元件會在每一個徑向位置處提供一實質上恆定的熱通量,並且在該加熱元件的相向側表面之間形成一實質上恆定的間隔,該至少一電阻加熱器會被設置成用以加熱該基板支撐體及/或該等一或多個基板。
  2. 如申請專利範圍第1項的系統,其進一步包括:至少一康達(Coanda)效應氣體注入器,其會被設置在該基板支撐體的一周圍邊緣近端處,以便在該基板支撐體的表面及/或該(等)一或多個基板上提供一康達效應氣流。
  3. 如申請專利範圍第2項的系統,其中該至少一康達效應氣體注入器具有一氣體離開埠、一氣流通道、以及一氣體進入埠,該氣體離開埠會與該氣流通道進行流體交換,該氣流通道會與該氣體進入埠進行流體交換,該氣流通道則係由該康達效應氣體注入器的至少一凸表面所形成,用以產生該康達效應氣流。
  4. 如申請專利範圍第2項的系統,其中該至少一康達效應氣體注入器具有一氣體進入埠、一通風區、一氣流通道、以及一氣體離開埠,該氣體離開埠會透過該氣流通道來與該通風區進行流體交換,該氣體進入埠會與該通風區進行流體交換,該氣流通道則係由該康達效應氣體注入器的至少一凸表面所形成,用以產生該康達效應氣流。
  5. 如申請專利範圍第2項的系統,其進一步包括一旋轉耦合器,其會連接該基板支撐體,用以旋轉該基板支撐體的表面。
  6. 如申請專利範圍第2項的系統,其進一步包括一線性致動器,其會連接該基板支撐體,用以線性移動該基板支撐體的表面。
  7. 如申請專利範圍第2項的系統,其中該處理反應室係一用於進行高溫處理的熱壁反應室。
  8. 如申請專利範圍第2項的系統,其中該處理反應室、該基板支撐體、以及該至少一康達效應氣體注入器包括選擇自由下面所組成之群中的材料:氧化鋁、氮化鋁、碳化矽、氮化矽、二氧化矽、不鏽鋼、石墨、以及塗佈著碳化矽的石墨。
  9. 如申請專利範圍第2項的系統,其中該正弦加熱元件的剖面厚度具有f(1/r)的函數形式,其中r為該加熱器上的徑向位置。
  10. 如申請專利範圍第2項的系統,其中該正弦加熱元件的剖面寬度具有f(r)的函數形式,其中r為該加熱器上的徑向位置。
  11. 如申請專利範圍第2項的系統,其中該正弦加熱元件的剖面厚度係推導自下面的等式:t=2πri 2Gti/(2πr2G-Sr)其中,t為該加熱元件的剖面厚度,r為該加熱元件上的徑向位置,π為數學常數中的圓周率,ri為該加熱元件的內徑,ti為初始試驗厚度,G為幾何係數,其等於該加熱元件之輪輻的角寬度除以該加熱器的角大小,以及S為該加熱元件的相向側表面之間的間隔。
  12. 如申請專利範圍第2項的系統,其中該正弦加熱元件的剖面寬度係推導自下面的等式:w=2πGr-S其中,w為該加熱元件的剖面寬度,r為該加熱元件上的徑向位置,π為數學常數中的圓周率,G為幾何係數,其等於該加熱元件之輪輻的角寬度除以該加熱器的角大小,以及S為該加熱元件的相向側表面之間的間隔。
  13. 如申請專利範圍第2項的系統,其中該至少一電阻加熱器包括塗佈有碳化矽的石墨。
  14. 如申請專利範圍第2項的系統,其中該加熱元件包括一選擇自由下面所組成之群中的材料:鎳鉻合金、鉬、鉭、以及鎢。
  15. 如申請專利範圍第2項的系統,其中該至少一電阻加熱器還進一步包括多個電接點以及和予以按壓配接耦合的多個電轉接器。
  16. 如申請專利範圍第2項的系統,其進一步包括一輔助氣體注入器,其會被設置成用以提供一氣流至該處理反應室。
  17. 一種用於處理一或多個基板的系統,該系統包括:一外反應室;一處理反應室,其實質上會被設置在該外反應室裡面;一被設置在該處理反應室之中的基板支撐體,用以固持一或多個基板;以及至少一電阻加熱器,其包括一正弦加熱元件,其具有被設置成用以描述一外徑的複數個波峰以及被設置成用以描述一內徑的複數個波谷,該加熱元件的剖面寬度係徑向位置的第一函數而該加熱元件的剖面厚度則係徑向位置的第二函數,俾使得該加熱元件會在每一個徑向位置處提供一實質上恆定的熱通量,並且在該加熱元件的相向側表面之間形成一實質上恆定的間隔,該至少一電阻加熱器包括多個熱解石墨電接點和予以按壓配接耦合的多個熱解石墨電轉接器以及一熱沉積碳化矽塗層,該至少一電阻加熱器會被設置在該外反應室與該處理反應室之間,用以加熱該基板支撐體及/或該等一或多個基板;至少一康達效應氣體注入器,其會被設置在該基板支撐體的一周圍邊緣近端處,以便在該基板支撐體的表面及/或該等基板上提供一康達效應氣流,該至少一康達效應氣體注入器具有一氣體進入埠、一通風區、一氣流通道、以及一氣體離開埠,該氣體離開埠會透過該氣流通道來與該通風區進行流體交換,該氣體進入埠會與該通風區進行流體交換,該氣流通道則係由該康達效應氣體注入器的至少一凸表面所形成,用以產生一康達效應氣流;至少一輔助氣體注入器,其會被設置成用以提供一氣流至該處理反應室;以及一旋轉耦合器,其會連接該基板支撐體,用以旋轉該基板支撐體;其中該正弦加熱元件的剖面厚度係推導自下面的等式:t=2πri 2Gti/(2πr2G-Sr)其中,t為該加熱元件的剖面厚度,r為該加熱元件上的徑向位置,π為數學常數中的圓周率,ri為該加熱元件的內徑,ti為初始試驗厚度,G為幾何係數,其等於該加熱元件之輪輻的角寬度除以該加熱器的角大小,以及S為該加熱元件的相向側表面間的間隔;其中該正弦加熱元件的剖面寬度係推導自下面的等式:w=2πGr-S其中,w為該加熱元件的剖面寬度,r為該加熱元件上的徑向位置,π為數學常數中的圓周率,G為幾何係數,其等於該加熱元件之輪輻的角寬度除以該加熱器的角大小,以及S為該加熱元件的相向側表面間的間隔。
  18. 一種處理一基板的方法,該方法包括:提供一基板;提供一或多個反應氣體;提供至少一加熱器或加熱器組件,其包括一正弦加熱元件,其具有被設置成用以描述一外徑的複數個波峰以及被設置成用以描述一內徑的複數個波谷,該加熱元件的剖面寬度係徑向位置的第一函數而該加熱元件的剖面厚度則係徑向位置的第二函數,俾使得該加熱元件會在每一個徑向位置處提供一實質上恆定的熱通量並且在該加熱元件的相向側表面之間形成一實質上恆定的間隔;以及利用該至少一加熱器或加熱器組件將熱施加至該基板並且在該基板上創造該等一或多個反應氣體的康達效應氣流。
  19. 如申請專利範圍第18項的方法,其進一步包括旋轉該基板。
  20. 如申請專利範圍第18項的方法,其中該提供該基板包括提供一半導體晶圓。
  21. 如申請專利範圍第18項的方法,其中該提供該基板包括提供一用於製造電子或光電子裝置的基板。
  22. 如申請專利範圍第18項的方法,其中該提供該基板包括提供一矽晶圓。
  23. 如申請專利範圍第18項的方法,其中該提供該等一或多個反應氣體包括提供用於半導體沉積的一或多個前驅體。
  24. 如申請專利範圍第18項的方法,其中該提供該等一或多個反應氣體包括提供一矽前驅體。
  25. 如申請專利範圍第18項的方法,其中該提供該等一或多個反應氣體包括提供一選擇自由下面所組成之群中的化合物:矽烷、二氯矽烷、三氯矽烷、以及四氯化矽。
  26. 如申請專利範圍第18項的方法,其進一步包括保持足以沉積磊晶矽的條件。
  27. 如申請專利範圍第18項的方法,其中該提供該等一或多個反應氣體包括提供一或多個前驅體,用以沉積IV族元素半導體、IV族元素化合物半導體、III-V族元素半導體、或是II-VI族元素半導體。
  28. 如申請專利範圍第18項的方法,其進一步包括保持足以沉積一磊晶層的條件。
TW100126766A 2010-07-29 2011-07-28 基板處理設備、系統及方法 TWI590308B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US36904710P 2010-07-29 2010-07-29

Publications (2)

Publication Number Publication Date
TW201220365A TW201220365A (en) 2012-05-16
TWI590308B true TWI590308B (zh) 2017-07-01

Family

ID=45530728

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100126766A TWI590308B (zh) 2010-07-29 2011-07-28 基板處理設備、系統及方法

Country Status (7)

Country Link
EP (1) EP2599108B1 (zh)
JP (1) JP6045035B2 (zh)
KR (1) KR101943313B1 (zh)
PL (1) PL2599108T3 (zh)
SG (1) SG187111A1 (zh)
TW (1) TWI590308B (zh)
WO (1) WO2012016084A2 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201222636A (en) * 2010-07-30 2012-06-01 Lawrence Advanced Semiconductor Technologies Llc Systems, apparatuses, and methods for chemically processing substrates using the Coanda effect

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2722833B2 (ja) * 1991-03-18 1998-03-09 富士通株式会社 気相エピタキシャル成長装置および気相エピタキシャル成長方法
TW434723B (en) * 1997-03-17 2001-05-16 Matsushita Electric Ind Co Ltd Method and apparatus for plasma processing
US6179924B1 (en) * 1998-04-28 2001-01-30 Applied Materials, Inc. Heater for use in substrate processing apparatus to deposit tungsten
DE19932033A1 (de) * 1998-07-10 2000-01-13 Kaco Gmbh Co Heizelement, Verfahren zu seiner Herstellung und Pumpe mit einem Heizelement
JP2000331939A (ja) * 1999-05-17 2000-11-30 Applied Materials Inc 成膜装置
JP4505169B2 (ja) * 1999-09-29 2010-07-21 東京エレクトロン株式会社 多重領域抵抗ヒータ
US6740853B1 (en) * 1999-09-29 2004-05-25 Tokyo Electron Limited Multi-zone resistance heater
JP3131205B1 (ja) * 1999-11-22 2001-01-31 助川電気工業株式会社 縦型加熱装置
JP2002141159A (ja) * 2000-11-02 2002-05-17 Ibiden Co Ltd セラミックヒータ
US20020100557A1 (en) * 2001-01-29 2002-08-01 Applied Materials, Inc. ICP window heater integrated with faraday shield or floating electrode between the source power coil and the ICP window
US6902622B2 (en) * 2001-04-12 2005-06-07 Mattson Technology, Inc. Systems and methods for epitaxially depositing films on a semiconductor substrate
JP2002373846A (ja) * 2001-06-14 2002-12-26 Ibiden Co Ltd 半導体製造・検査装置用セラミックヒータおよび半導体製造・検査装置用ホットプレートユニット
US7347901B2 (en) * 2002-11-29 2008-03-25 Tokyo Electron Limited Thermally zoned substrate holder assembly
US7375946B2 (en) * 2004-08-16 2008-05-20 Applied Materials, Inc. Method and apparatus for dechucking a substrate
JP2007157661A (ja) * 2005-12-08 2007-06-21 Shin Etsu Chem Co Ltd セラミックスヒーターおよびセラミックスヒーターの製造方法
JP2008204650A (ja) * 2007-02-16 2008-09-04 Sharp Corp プラズマ処理装置
JP2009231535A (ja) * 2008-03-24 2009-10-08 Sumco Corp 気相成長装置

Also Published As

Publication number Publication date
PL2599108T3 (pl) 2017-10-31
WO2012016084A3 (en) 2012-05-31
JP6045035B2 (ja) 2016-12-14
JP2013533641A (ja) 2013-08-22
TW201220365A (en) 2012-05-16
EP2599108A2 (en) 2013-06-05
EP2599108A4 (en) 2014-05-21
KR20130103488A (ko) 2013-09-23
KR101943313B1 (ko) 2019-01-29
WO2012016084A2 (en) 2012-02-02
SG187111A1 (en) 2013-02-28
EP2599108B1 (en) 2017-03-08

Similar Documents

Publication Publication Date Title
US20210156030A1 (en) Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US20190062910A1 (en) Electrical Resistance Heater and Heater Assemblies
US20080092812A1 (en) Methods and Apparatuses for Depositing Uniform Layers
TWI691612B (zh) 矽化鎳奈米線的直接沉積
US9139910B2 (en) Method for chemical vapor deposition control
CN1306571C (zh) 金属硅化物膜的制作方法和金属氧化物半导体器件
EP0964433B1 (en) Multiple-layered ceramic heater
TWI614835B (zh) 用於具有多區加熱之基材支撐件的方法及設備
US20110303145A1 (en) Apparatus for chemical vapor deposition control
US8829397B2 (en) Corrosion-resistant multilayer ceramic member
WO2011114858A1 (ja) 半導体薄膜の製造方法、半導体薄膜の製造装置、サセプター、およびサセプター保持具
US20120145701A1 (en) Electrical resistance heater and heater assemblies
CN203983241U (zh) 带有加热器的基板支撑组件
TWI590308B (zh) 基板處理設備、系統及方法
TW201820386A (zh) 鍺與矽化鍺奈米線裝置之形成方法
JP2009301796A (ja) セラミックスヒーター及びその製造方法
JP2013235947A (ja) 回転ブレード気相成長装置
JP2010001541A (ja) 膜形成方法および膜形成装置
US20140251976A1 (en) Hot plate and method of manufacturing the same
US20130068164A1 (en) Heating unit and film-forming apparatus
TWI686500B (zh) 矽化物奈米線之層疊與核殼形成
WO2012016231A2 (en) Systems, apparatuses, and methods for chemically processing substrates using the coanda effect
KR20200099688A (ko) 진공증착장비
TW202321508A (zh) 沉積氮化硼膜之循環沉積方法以及包含氮化硼膜的結構
WO2012016230A2 (en) Electrical resistance heater and heater assemblies

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees