TWI493073B - 成膜裝置、成膜方法及電腦可讀取記憶媒體 - Google Patents

成膜裝置、成膜方法及電腦可讀取記憶媒體 Download PDF

Info

Publication number
TWI493073B
TWI493073B TW099145678A TW99145678A TWI493073B TW I493073 B TWI493073 B TW I493073B TW 099145678 A TW099145678 A TW 099145678A TW 99145678 A TW99145678 A TW 99145678A TW I493073 B TWI493073 B TW I493073B
Authority
TW
Taiwan
Prior art keywords
reaction gas
supply device
gas
gas supply
separation
Prior art date
Application number
TW099145678A
Other languages
English (en)
Other versions
TW201139727A (en
Inventor
Hitoshi Kato
Kohichi Orito
Hiroyuki Kikuchi
Muneyuki Otani
Takeshi Kumagai
Kensaku Narushima
Takashi Nishimori
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201139727A publication Critical patent/TW201139727A/zh
Application granted granted Critical
Publication of TWI493073B publication Critical patent/TWI493073B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

成膜裝置、成膜方法及電腦可讀取記憶媒體
本發明係關於一種可於真空環境氣氛下對基板利用反應氣體來進行氮化鈦膜之成膜的成膜裝置、成膜方法以及記憶媒體。
於半導體裝置之多層配線構造,對於在下層側配線層與上層側配線層之間的層間絕緣膜形成有接觸孔(用以連接該等配線層彼此)的接觸體構造而言,有時填埋於此接觸孔內之金屬材料方面會使用鋁。於此接觸孔之內壁面係形成例如TiN(氮化鈦;titan nitride)膜作為用以防止鋁擴散至層間絕緣膜內之障壁膜。
於接觸孔內壁面形成此種障壁膜之際,由於習知之CVD(Chemical Vapor Deposition)法在被覆性並非良好,所以作為替代性成膜方式係檢討例如ALD(Atomic Layer Deposition)法、MLD(Molecular Layer Deposition)法或是SFD(Sequential Flow Deposition)法等。
於該等成膜方式形成TiN膜之情況下,係例如將TiCl4 (氯化鈦)氣體以及例如NH3 (氨)氣體交互供給至半導體晶圓上,來依序積層TiN之分子層。此種方式之填埋特性(被覆率)高達90%以上,可大幅改善填埋特性,但是由於成膜速度緩慢故有生產性差之課題存在。此外,若將每次之TiCl4 氣體之環境氣氛事先維持在TiCl4 氣體之吸附到達飽和為止,亦即若進行飽和吸附,則無法控制膜表面之形態結構(表面狀態;morphology)。換言之,若該反應氣體之吸附時間(反應氣體之供給時間)耗費長時間以於晶圓上使得反應氣體之吸附量達到飽和為止,則於TiN膜之情況,例如於供給NH3 氣體之間,會因為於晶圓表面所生成之TiN粒子出現結晶化而產生原子或分子之遷移(移動),薄膜表面形態結構會惡化。此外,於CVD法中此種結晶化之進行無法避免。
是以,於形成適於次世代之電容電極之際,當作為例如ZrO(氧化鋯)、TiO(氧化鈦)、TaO(氧化鉭)等之障壁膜使用TiN膜之情況,若該TiN膜表面形狀粗糙,於電容電極會有電荷部份集中之問題。
進而,為了抑制TiN之遷移而例如以低溫進行成膜之情況,有時反應氣體之分解變得不充分,反應氣體中之Cl(氯)等會夾帶至薄膜中而無法得到設定預期之電氣特性。
例如,於美國專利公報7,153,542號、日本國專利3144664號公報、美國專利公報6,869,641號等係針對ALD法等作了記載,但針對前述課題並未檢討。
本發明係基於前述事情而進行者,其實施形態之一目的,係提供一種當於真空容器內對於基板利用反應氣體來形成氮化鈦膜之際,可迅速形成該氮化鈦膜且可得到表面形狀平滑之氮化鈦膜的成膜裝置、成膜方法以及電腦可讀取記憶媒體(儲存有實施此方法之程式)。
依據本發明之一觀點係提供一種成膜裝置,具備有:機台,係設置於真空容器內,設有用以載置基板之基板載置區域;第1反應氣體供給裝置與第2反應氣體供給裝置,係於前述真空容器之圓周方向上相互分離設置,對前述機台上之基板分別供給含Ti之第1反應氣體以及含N之第2反應氣體;分離區域,係設置於被供給前述第1反應氣體之第1處理區域與被供給前述第2反應氣體之第2處理區域之間,將兩反應氣體加以分離;旋轉機構,係使得前述第1反應氣體供給裝置以及前述第2反應氣體供給裝置和前述機台在前述真空容器之圓周方向上進行相對旋轉,以讓前述基板依序位於前述第1處理區域與前述第2處理區域;真空排氣裝置,係將前述真空容器內加以真空排氣;以及控制部,當對於前述基板進行成膜時,使得前述第1反應氣體供給裝置與前述第2反應氣體供給裝置以及前述機台經由前述旋轉機構以100rpm以上進行旋轉;其中,在前述真空容器內,將前述第1反應氣體與前述第2反應氣體依序供給至前述基板表面來形成氮化鈦膜。
再者,亦可採用下述配置:具備活性化氣體注射器,來對前述機台上之基板供給NH3 氣體或是H2 氣體之至少一者的電漿;此活性化氣體注射器,係藉由前述旋轉機構而連同前述第1反應氣體供給裝置以及前述第2反應氣體供給裝置對前述機台進行相對旋轉,並於進行前述相對旋轉時,前述電漿於前述第2處理區域與前述第1處理區域之間被供給至前述基板。
前述分離區域亦可具備有用以供給分離氣體之分離氣體供給裝置;再者亦可具備天花板面,係位於此分離氣體供給裝置在前述圓周方向兩側,用以與前述機台之間形成讓分離氣體自該分離區域流向處理區域側之狹隘空間。
尚可具有下述構成:前述第1反應氣體供給裝置以及前述第2反應氣體供給裝置係與前述第1處理區域以及前述第2處理區域之個別的天花板面相隔離而分別設於前述基板附近,朝前述基板方向分別供給前述第1反應氣體以及前述第2反應氣體。
依據本發明之一觀點,係提供一種成膜方法,係於真空容器內將含Ti之第1反應氣體以及含N之第2反應氣體依序供給至基板表面來形成氮化鈦膜;包含下述製程:自在前述真空容器之圓周方向上相互分離設置之第1反應氣體供給裝置以及第2反應氣體供給裝置,來對設有用以載置前述基板之基板載置區域的機台表面分別供給前述第1反應氣體以及前述第2反應氣體之製程;於被供給前述第1反應氣體之第1處理區域與被供給前述第2反應氣體之第2處理區域之間所設置的分離區域,來分離兩反應氣體之製程;使得前述第1反應氣體供給裝置以及前述第2反應氣體供給裝置和前述機台相對地在前述真空容器之圓周方向上以100rpm以上進行旋轉,而讓前述基板依序位於前述第1處理區域與前述第2處理區域之製程;以及將前述真空容器內進行真空排氣之製程。
亦可進一步包含自活性化氣體注射器對前述機台上之前述基板供給NH3 氣體或是H2 氣體之至少一者電漿之製程;前述旋轉製程係使得前述活性化氣體注射器連同前述第1反應氣體供給裝置以及前述第2反應氣體供給裝置對前述機台進行相對旋轉,以於前述相對旋轉時在前述第2處理區域與前述第1處理區域之間對前述基板供給前述電漿。
前述分離兩氣體之製程亦可自分離氣體供給裝置對前述分離區域供給分離氣體;再者,前述分離氣體亦可自前述分離氣體供給裝置供給至:位於前述分離氣體供給裝置在前述圓周方向兩側、用以使得分離氣體自前述分離區域流向處理區域側而設置於前述機台與前述真空容器之天花板面之間的狹隘空間。
供給前述第1反應氣體以及前述第2反應氣體之製程亦可自與前述第1處理區域以及前述第2處理區域之個別的天花板面相隔離而分別設置於前述基板附近之前述第1反應氣體供給裝置以及前述第2反應氣體供給裝置,來朝向前述基板方向分別供給前述第1反應氣體以及前述第2反應氣體。
依據本發明之一觀點,係提供一種實體之電腦可讀取記憶媒體,係儲存有程式,一旦由電腦實行前述程式,前述電腦會實行成膜裝置之處理,前述成膜裝置係於真空容器內將含Ti之第1反應氣體以及含N之第2反應氣體依序供給至基板表面而形成氮化鈦膜;前述處理包含:使得前述電腦實行下述工作:自於前述真空容器之圓周方向上相互分離設置之第1反應氣體供給裝置以及第2反應氣體供給裝置,對設有用以載置前述基板之基板載置區域的機台表面分別供給前述第1反應氣體以及前述第2反應氣體;使得前述電腦實行下述工作:在被供給前述第1反應氣體之第1處理區域與被供給前述第2反應氣體之第2處理區域之間所設之分離區域,使得兩反應氣體分離;使得前述電腦實行下述工作:使得前述第1反應氣體供給裝置以及前述第2反應氣體供給裝置和前述機台相對地在前述真空容器之圓周方向上以100rpm以上進行旋轉,而讓前述基板依序位於前述第1處理區域與前述第2處理區域;以及使得前述電腦實行下述工作:將前述真空容器內加以真空排氣。
[第1實施形態]
本發明之第1實施形態之成膜裝置之一例係如圖1(沿著圖3之I-I’線之截面圖)~圖3所示般,具備有:扁平之真空容器(或是腔室)1,其平面形狀呈大致圓形;以及,旋轉機台2,係設置於此真空容器1內,於該真空容器1之中心具有旋轉中心。真空容器1係以頂板11可自容器本體12裝卸自如的方式所構成者。此頂板11係藉由真空容器1內受到減壓而經由在容器本體12上面之周緣部以環狀設置之密封構件(例如O型環13)而被拉向容器本體12側以維持氣密狀態,當自容器本體12分離時係藉由未圖示之驅動機構朝上方被上提。
旋轉機台2在中心部係被固定於圓筒形狀之核心部21,此核心部21係被固定於朝鉛直方向延伸之旋轉軸22的上端。旋轉軸22係貫通真空容器1之底面部14,旋轉軸之下端則是裝設於驅動部23(形成使得該旋轉軸22繞鉛直軸(於此例中係繞順時鐘方向進行旋轉之旋轉機構)。此外,如後述般,旋轉機台2藉由此驅動部23而於薄膜之成膜中以例如100rpm~240rpm繞鉛直方向軸進行旋轉。旋轉軸22以及驅動部23係被收納於上面呈現開口之筒狀盒體20內。此盒體20,於其上面所設置之凸緣部分被氣密地裝設於真空容器1之底面部14的下面,維持盒體20之內部環境氣氛與外部環境氣氛之氣密狀態。
於旋轉機台2表面部,如圖2以及圖3所示般,沿著旋轉方向(圓周方向)R設有用以載置複數片(例如5片)之形成基板之半導體晶圓(以下稱為「晶圓」)W之圓形狀凹部24。又於圖3中為方便起見僅於1個凹部24描繪了晶圓W。此處圖4A以及圖4B係將旋轉機台2沿同心圓切斷且橫向展開顯示之展開圖,凹部24係如圖4A所示般其直徑設定為較晶圓W直徑略大例如4mm,而其深度設定為與晶圓W之厚度為同等大小。圖4B係以箭頭表示圖4A之氣流。從而,若晶圓W落入凹部24,則晶圓W表面與旋轉機台2表面(亦即未載置晶圓W之區域)將會對齊。於凹部24之底面形成有貫通孔,而可讓用以支撐晶圓W內面使得該晶圓W昇降之例如後述3支昇降銷(皆未圖示)貫通該貫通孔。
此凹部24係用以將晶圓W定位、避免因旋轉機台2之旋轉所伴隨而生之離心力而飛出,係相當於本實施形態之基板載置區域之部位。
如圖2以及圖3所示般,於真空容器1,在與旋轉機台2之凹部24的通過區域分別對向之上位置處,第1反應氣體噴嘴31以及第2反應氣體噴嘴32與2支分離氣體噴嘴41、42係於真空容器1之圓周方向(亦即,旋轉機台2之旋轉方向R)相互保有間隔而從中心部以放射狀延伸著。於此例中,自後述之搬運口15觀看繞順時鐘方向係依序配置排列有第2反應氣體噴嘴32、分離氣體噴嘴41、第1反應氣體噴嘴31以及分離氣體噴嘴42。此等反應氣體噴嘴31、32以及分離氣體噴嘴41、42係裝設於例如真空容器1之側周壁,其基端部之氣體導入埠31a、32a、41a、42a係貫通於該側壁。
該等氣體噴嘴31、32、41、42係從真空容器1之周壁部導入於真空容器1內。
第1反應氣體噴嘴31以及第2反應氣體噴嘴32係分別經由未圖示之流量調整閥等而分別連接於第1反應氣體(處理氣體)之含Ti(鈦)反應氣體例如TiCl4 (氯化鈦)氣體之供給源、以及第2反應氣體之含N(氮)反應氣體例如NH3 (氨)氣體之供給源(皆未圖示)。此外,分離氣體噴嘴41、42皆經由流量調整閥等而與儲留著分離氣體(惰性氣體)之N2 (氮)氣體的氣體供給源(未圖示)連接著。
於反應氣體噴嘴31、32,例如圖4A中形成用以朝下方側噴出反應氣體之處理氣體供給口的例如口徑0.3mm之噴出孔33係面向正下方沿噴嘴之長度方向保持例如2.5mm之間隔來配置排列著。此外,於分離氣體噴嘴41、42,用以朝下方側噴出分離氣體之例如口徑0.5mm之噴出孔40係面向正下方沿長度方向保持例如10mm程度之間隔來穿設著。反應氣體噴嘴31、32分別形成第1反應氣體供給機構(或是第1反應氣體供給裝置)以及第2反應氣體供給機構(或是第2反應氣體供給裝置),分離氣體噴嘴41、42係形成分離氣體供給機構(或是分離氣體供給裝置)。此外,反應氣體噴嘴31、32之下方區域分別形成用以將TiCl4 氣體吸附於晶圓W之第1處理區域91以及用以將NH3 氣體吸附於晶圓W之第2處理區域92。
於前述圖1~圖3、圖4A以及圖4B雖省略圖示,惟反應氣體噴嘴31、32係如圖5A所示般,具備有噴嘴蓋120,其自處理區域91、92之天花板面45分離而分別設於晶圓W附近,沿著噴嘴31、32之長度方向將該等噴嘴31、32從上方側加以覆蓋,且下方側呈開口狀。噴嘴蓋120於旋轉機台2之旋轉方向R上之兩側面部,下端部係朝水平方向外延形成有凸緣狀整流構件121。此整流構件121係為了抑制分離氣體回繞至處理區域91、92內以及反應氣體上揚至噴嘴31、32之上方側而設置者,具有隨著從旋轉機台2之中心側朝外周側沿旋轉方向R之寬度尺寸逐漸變大之形狀。是以,圖5B中氣體之流動如箭頭所示般,自該等噴嘴31、32之上游側朝各處理區域91、92流動接近之分離氣體係通過噴嘴蓋120之上方區域而分別往排氣口61、62被排氣,可將各處理區域91、92之反應氣體濃度保持在高濃度。此外,圖5A以及圖5B皆為沿著旋轉機台2之圓周方向將裝置加以縱斷展開之圖,於成膜裝置,在相對於處理區域91、92以及分離區域D之外側區域設有排氣口61、62,為便於顯示各氣體之流動係以同一平面顯示著處理區域91、92以及分離區域D與排氣口61、62。此外,此整流構件121如圖5A以及圖5B所示般可於旋轉機台2之旋轉方向R上形成於兩側面,亦可僅於上游側以及下游側之一側設置。
分離氣體噴嘴41、42係用以形成將第1處理區域91與第2處理區域92加以分離之分離區域D,於此分離區域D之真空容器1之頂板11係如圖2、圖3、圖4A以及圖4B所示般,設有平面形狀為扇型且朝下方突出之凸狀部4,其係將以旋轉機台2之旋轉中心為中心且沿著真空容器1之內周壁附近所描繪之圓在圓周方向作分割而成者。分離氣體噴嘴41、42係被收容於此凸狀部4之以前述圓之圓周方向中央朝該圓之半徑方向延伸形成之溝槽部43內。亦即,從分離氣體噴嘴41(42)之中心軸到作為凸狀部4之扇型的兩端(亦即在旋轉機台2之旋轉方向R上之上游側端以及下游側端)的距離係設定為相同長度。
此外,溝槽部43於本實施形態係將凸狀部4加以二等均分形成,惟亦可例如以自溝槽部43看時凸狀部4在旋轉機台2之旋轉方向R上游側較前述旋轉方向R下游側來得寬的方式形成溝槽部43。
從而,於分離氣體噴嘴41、42在前述旋轉方向R之兩側係存在著前述凸狀部4下面之例如平坦的低天花板面44(第1天花板面),此天花板面44在前述旋轉方向R之兩側係存在有較該天花板面44來得高之天花板面45(第2天花板面)。此凸狀部4之功用在於形成為狹隘空間之分離空間來阻止第1反應氣體以及第2反應氣體侵入旋轉機台2之間而阻止此等反應氣體混合。
亦即,以分離氣體噴嘴41為例,則分離空間係阻止來自旋轉機台2之旋轉方向R上游側之NH3 氣體的侵入,此外,阻止來自旋轉方向R下游側之TiCl4 氣體之侵入。
於此例中,係以直徑300mm之晶圓W為被處理基板,此時凸狀部4,自旋轉機台2之旋轉中心離開140mm之外周側之部位(亦即後述之與突出部5之交界部位),圓周方向之長度(亦即與旋轉機台2呈同心圓之圓弧長)為例如146mm,於載置晶圓W之基板載置區域(凹部24)之最外側部位,圓周方向之長度為例如502mm。此外,如圖4A所示般,於該外側部位自分離氣體噴嘴41(42)之兩側分別位於左右之凸狀部4在圓周方向之長度L為例如246mm。
此外,如圖4A所示般,凸狀部4之下面亦即天花板面44距離旋轉機台2表面之高度h係設定為例如0.5mm~4mm。因此,為了確保分離區域D之分離機能,對應於旋轉機台2之旋轉數之使用範圍等,將凸狀部4之大小、凸狀部4下面(第1天花板面44)與旋轉機台2表面之間的高度h例如依據實驗等進行設定。又在分離氣體方面,不限於氮(N2 )氣體亦可使用氬(Ar)氣體等惰性氣體等。
於頂板11下面,係與相對於旋轉機台2之核心部21為外周側之部位成為對向且沿著該核心部21之外周設有突出部5。此突出部5係和凸狀部4在旋轉機台2之旋轉中心側的部位連續形成,其下面係和凸狀部4之下面(天花板面44)形成為相同高度。圖2以及圖3係於較前述天花板面45為低且較分離氣體噴嘴41、42為高之位置將頂板11朝水平切斷來表示。此外,突出部5與凸狀部4未必要形成一體,亦可為獨立個體。
真空容器1之頂板11下面、亦即自旋轉機台2之基板載置區域(凹部24)所觀看之天花板面,如前述般第1天花板面44與較此天花板面44來得高之第2天花板面45係存在於圓周方向上,在圖1中,係針對設有高天花板面45之區域顯示其縱截面,於圖6中係針對設有低天花板面44之區域顯示縱截面。扇型凸狀部4之周緣部(亦即真空容器1之外緣側部位)如圖2以及圖6所示般,以對向於旋轉機台2外端面的方式形成有彎曲成L字型的彎曲部46。扇型凸狀部4係設置於頂板11側,可從容器本體12卸除,故於前述彎曲部46之外周面與容器本體12之間存在有些許間隙。此彎曲部46係與凸狀部4同樣地基於防止反應氣體自兩側侵入,而防止兩反應氣體之混合的目的所設置者,彎曲部46內周面與旋轉機台2外端面之間隙、以及彎曲部46外周面與容器本體12之間隙係設定為與天花板面44相對於旋轉機台2表面之高度h為同樣的尺寸。於此例中,從旋轉機台2表面側區域可看出彎曲部46內周面構成了真空容器1內周壁。
容器本體12內周壁,於分離區域D如圖6所示般係接近於前述彎曲部46外周面而形成為垂直面,但於分離區域D以外之部位,如圖1所示般係例如自與旋轉機台2外端面呈對向之部位跨過底面部14而成為縱截面形狀切除為矩形而於外方側凹陷之構造。將此凹陷部位之連通於前述第1處理區域91以及第2處理區域92的區域分別稱為第1排氣區域E1以及第2排氣區域E2。於該等第1排氣區域E1以及第2排氣區域E2之底部,如圖3所示般係分別形成有第1排氣口61以及第2排氣口62。如圖1所示般,此等排氣口61、62個別經由排氣流路63而與形成真空排氣機構(或是真空排氣裝置)之例如真空泵64連接著。此外,圖1中,65為壓力調整機構(或是壓力調整裝置),設置於每個排氣流路63。
如前述般,排氣口61、62係以發揮分離區域D之分離作用的方式以俯視觀看時設置於前述分離區域D在前述旋轉方向R之兩側。詳而言之,自旋轉機台2之旋轉中心觀看於第1處理區域91與相對於此第1處理區域91例如鄰接於旋轉方向R下游側之分離區域D之間形成有第1排氣口61,自旋轉機台2之旋轉中心觀看於第2處理區域92與相對於此第2處理區域92例如鄰接於旋轉方向R下游側之分離區域D之間形成有第2排氣口62。該等排氣口61、62係以專門(個別)進行各反應氣體(TiCl4 氣體以及NH3 氣體)之排氣的方式配置著。於此例中,其中一排氣口61係設置於第1反應氣體噴嘴31與相對於此反應氣體噴嘴31鄰接於前述旋轉方向R下游側之分離區域D在第1反應氣體噴嘴31側端之延長線之間,又另一排氣口61係設置於第2反應氣體噴嘴32與相對於此反應氣體噴嘴32鄰接於前述旋轉方向R下游側之分離區域D在第2反應氣體噴嘴32側端之延長線之間。亦即,第1排氣口61係設置於圖3中以一點鏈線表示之通過旋轉機台2中心與第1處理區域91之直線L1和通過旋轉機台2中心與鄰接於前述第1處理區域91下游側之分離區域D上游側端之直線L2之間,第2排氣口62係位於此圖3中以二點鏈線表示之通過旋轉機台2中心與第2處理區域92之直線L3和通過旋轉機台2中心與鄰接於前述第2處理區域92下游側之分離區域D之上游側端之直線L4之間。
於此例中,藉由將排氣口61、62設置於較旋轉機台2為低之位置而從真空容器1之內周壁與旋轉機台2之周緣之間的間隙進行排氣,惟不限定設置於真空容器1之底面部14,亦可於真空容器1之側壁設置排氣口61、62。
於前述旋轉機台2與真空容器1之底面部14之間的空間,如圖1所示般設有形成加熱機構(或是加熱裝置)之加熱器單元7,經由旋轉機台2對旋轉機台2上之晶圓W加熱至由程序配方所決定之溫度。於前述旋轉機台2之周緣附近下方側,為了將從旋轉機台2之上方空間到排氣區域E之環境氣氛與加熱器單元7所處環境氣氛加以區隔,以圍繞加熱器單元7整周的方式設有蓋構件71。此蓋構件71之上緣朝外側彎曲形成凸緣形狀,減少該彎曲面與旋轉機台2下面之間的間隙,以抑制來自外界之氣體侵入蓋構件71內。
較配置著加熱器單元7之空間更為接近旋轉中心之部位之底面部14,係於旋轉機台2之下面中心部附近接近於核心部21,其間係成為狹窄空間。此外,即使是貫通該底面部14之旋轉軸22的貫通孔也同樣地,其內周面與旋轉軸22之間隙在旋轉機台2下面中心部附近變為狹窄。此等狹窄空間係連通於前述盒體20內。此外於前述盒體20係設置有洗滌氣體供給管72,用以將作為洗滌氣體使用之N2 氣體供給於前述狹窄空間內進行洗滌。此外,於真空容器1之底面部14,於加熱器單元7之下方側位置沿圓周方向之複數部位設置有洗滌氣體供給管73以洗滌加熱器單元7之配置空間。
如上述般,藉由設置洗滌氣體供給管72、73,則從盒體20內到加熱器單元7之配置空間的空間受N2 氣體所洗滌,此洗滌氣體會從旋轉機台2與蓋構件71之間的間隙經由排氣區域E而朝排氣口61、62進行排氣。藉此,由於可防止前述TiCl4 氣體或是NH3 氣體從第1處理區域91與第2處理區域92之一者經由旋轉機台2下方而回繞至另一者,是以此洗滌氣體也可扮演分離氣體之角色。
此外,於真空容器1之頂板11中心部係連接著分離氣體供給管51,而對頂板11與核心部21之間的空間52供給作為分離氣體使用之N2 氣體。供給於此空間52之分離氣體係經由前述突出部5與旋轉機台2之間的狹窄間隙50而沿著旋轉機台2之基板載置區域側表面朝向周緣噴出。由於此突出部5所圍繞之空間係充滿著分離氣體,是以可防止反應氣體(TiCl4 氣體以及NH3 氣體)經由旋轉機台2中心部而在第1處理區域91與第2處理區域92之間發生混合。
再者,於真空容器1之側壁,如圖2以及圖3所示般,形成有用以在外部搬運臂10與旋轉機台2之間進行晶圓W之收授之搬運口15。此搬運口15可藉由未圖示之閘閥而開閉自如。此外,由於形成旋轉機台2之基板載置區域的凹部24係在面臨此搬運口15之位置而於搬運臂10之間進行晶圓W之收授,是以在旋轉機台2下方側之對應於該收授位置的部位,設有貫通凹部24而將晶圓W從內面上提之收授用昇降銷16的昇降機構(未圖示)。
此外,此成膜裝置係如前述圖1所示般,具備有能以控制裝置全體動作之電腦所形成之控制部100。控制部100具有:CPU等處理器100A、以及記憶體等記憶部100B。記憶部100B亦可形成CPU實行處理程式運算之際所使用之工作記憶體,而儲存著CPU所實行之處理程式以及配方等各種資料。工作記憶體亦可和記憶部100B係以個別之記憶體等來形成。此記憶部100B依據對於晶圓W所進行之處理的種類別而儲存著晶圓W之加熱溫度、各反應氣體之流量、真空容器1內之處理壓力以及旋轉機台2之旋轉數等配方(處理條件、處理參數等)。當對於晶圓W供給反應氣體而進行薄膜之成膜處理時,為了快速形成薄膜且如後述實施例所示般讓薄膜表面形態結構成為良好(亦即表面之面狀態成為平滑),旋轉機台2之旋轉數係基於記憶部100B所儲存之配方而設定為例如100rpm~240rpm。前述處理程式亦可從硬碟、光碟、光磁碟、記憶卡、軟碟、半導體記憶裝置等實體(tangible)之電腦可讀取之記憶媒體85安裝至控制部100內之記憶部100B。當然,控制部100內之記憶部100B本身亦可形成儲存著處理程式之電腦可讀取的記憶媒體。
於控制部100可連接操作者用以輸入資料或命令之操作面板等輸入裝置(未圖示)、用以對操作者顯示訊息、操作手冊或是成膜裝置狀態等狀態之顯示裝置(未圖示)等。輸入裝置以及顯示裝置亦可一體設置於諸如觸控面板般之使用者介面部。
視需要藉由來自使用者介面部之指令等而從記憶部100B讀取出任意配方以及處理程式而於CPU實行處理程式,藉此,在控制部100之控制下,於成膜裝置實行所希望之機能而進行所希望之處理。亦即,處理程式對成膜裝置之控制,係使得電腦實現有關成膜裝置之成膜處理的機能,或是使得電腦實行關於成膜裝置之成膜處理的順序,或是讓電腦以實行成膜裝置之成膜處理的機構來作用。此外,至少程式亦可將儲存於實體(tangible)之電腦可讀取記憶媒體之狀態者安裝於控制部100來利用,或是從控制部100之外部裝置(未圖示)、例如經由專用電路來隨時傳送而以線上(on-line)利用。
其次,針對上述第1實施形態之成膜裝置之動作,參照圖7以及圖8來說明。首先,打開未圖示之閘閥,自外部藉由搬運臂10而經由搬運口15而使得晶圓W於旋轉機台2之凹部24內進行收授。此收授係藉由當凹部24在面臨搬運口15之位置停止時,經由凹部24底面之貫通孔從真空容器1底部側使得昇降銷16進行昇降來進行者。此種晶圓W之收授係使得旋轉機台2間歇性旋轉來進行,而於旋轉機台2之例如5個凹部24內分別載置晶圓W。接著,關閉閘閥讓壓力調整機構65之開度成為全開使得真空容器1內成為真空狀態,讓旋轉機台2以例如100rpm繞順時鐘方向旋轉,且藉由加熱器單元7將晶圓W(亦即旋轉機台2)加熱至TiN(氮化鈦)之結晶化溫度(例如250℃以上之溫度,於此例為400℃)。
其次,以真空容器1內之壓力值成為既定值、例如1066.4Pa(8Torr)的方式調整壓力調整機構65之開度。此外,從第1反應氣體噴嘴31以例如100sccm來供給TiCl4 氣體,並從第2反應氣體噴嘴32以例如5000sccm供給NH3 氣體。進而,從分離氣體噴嘴41、42皆以10000sccm供給N2 氣體,且從分離氣體供給管51以及洗滌氣體供給管72、73以既定流量將N2 氣體供給至真空容器1內。
接著,一旦藉由旋轉機台2之旋轉,讓晶圓W通過第1處理區域91,則如圖7A所示般,於該晶圓W表面會吸附TiCl4 氣體。此時,由於旋轉機台2如前述般係以高速旋轉且反應氣體之流量、處理壓力如前述般作了設定,是以晶圓W上之TiCl4 吸附膜151之膜厚t1會變得較TiCl4 氣體吸附量達到飽和為止(例如將晶圓W靜置於TiCl4 氣體之環境氣氛中時)之飽和膜厚t0來得薄。如前述般使得TiCl4 氣體之吸附膜厚t1形成為較飽和膜厚t0來得薄之際,由於如前述般以從旋轉機台2之旋轉中心朝向外周側而與旋轉機台2成為水平的方式接近於晶圓W來設置第1反應氣體噴嘴31,並使得噴出孔33沿著該氣體噴嘴31之長度方向以等間隔設置,進而於各處理區域91、92間分別設置分離區域D來謀求真空容器1內之氣流的安定化,所以TiCl4 氣體被均勻地供給於晶圓W上,吸附膜151之膜厚在整個晶圓W面內成為均勻。
其次,一旦此晶圓W通過第2處理區域92,則如圖7B所示般,晶圓W表面之吸附膜151受到氮化而生成1層或複數層之TiN膜152分子層。此TiN膜152會因為伴隨結晶化之原子、分子之遷移(移動)而有晶粒尺寸變大之趨勢(亦即趨向於粒成長)。隨著此粒成長之進行,TiN膜152之表面形態結構會逐漸惡化(亦即表面狀態變粗糙)。但是,如前述般,由於使得旋轉機台2以高速旋轉,故表面形成有TiN膜152之晶圓W之後會直接通過第1處理區域91,接著迅速地到達第2處理區域92。亦即,包含TiCl4 氣體朝晶圓W表面之吸附以及此TiCl4 氣體之氮化處理的處理循環間之時間(亦即進行TiN膜152結晶化之時間)可設定為極短。是以,如圖7C以及圖7D所示般,在下層側TiN膜152之結晶化進行之前,藉由於上層側積層TiN膜153,則下層側TiN膜152之原子、分子之移動會受到上層側反應產物之TiN膜153所阻礙,亦即下層側TiN膜152之表面狀態(具體而言為粒成長)受到上層側TiN膜153之限制。此外,由於吸附膜151之膜厚t1如前述般薄薄地形成著,所以即便TiN膜152發生TiN粒子之結晶化,也可壓低成長後晶粒尺寸(亦即表面形態結構之惡化程度)。從而,此下層側TiN膜152如後述實施例所說明般,相較於以CVD(Chemical Vapor Deposition)法、循環間之時間長之習知ALD(Atomic Layer Deposition)法等來成膜之情況,晶粒尺寸極小、且表面狀態成為平滑。
此外,由於晶圓W接著迅速地通過處理區域91、92,所以上層側TiN膜153之原子、分子之移動同樣地受到進而於上層側所形成之TiN膜之限制。以此方式使得晶圓W依此順序交互地通過第1處理區域91與第2處理區域92複數次,藉此,會依序積層前述晶粒尺寸極小、表面平滑之反應產物而形成TiN膜之薄膜。由於如前述般旋轉機台2係高速進行旋轉,是以此薄膜相較於例如習知之ALD法能更快速地成膜。此時之成膜速度雖隨各反應氣體之供給量、真空容器1內之處理壓力等而變化,惟舉出一例則為例如5.47nm/min程度。
此時,於分離區域D供給N2 氣體,且於中心部區域C供給作為分離氣體之N2 氣體,是以如前述般,即便旋轉機台2進行高速旋轉之情況,如圖8中以箭頭所表示之氣體流動般,可於TiCl4 氣體與NH3 氣體不致混合的前提下進行各氣體之排氣。此外,於分離區域D,由於彎曲部46與旋轉機台2外端面之間的間隙如前述般變得狹窄,是以TiCl4 氣體與NH3 氣體即使經由旋轉機台2外側也不會混合。從而,第1處理區域91之環境氣氛與第2處理區域92之環境氣氛完全被分離,TiCl4 氣體朝排氣口61排氣,而NH3 氣體朝排氣口62排氣。其結果,TiCl4 氣體以及NH3 氣體不論於環境氣氛中或是於晶圓W上皆不會相混。此外,由於旋轉機台2下方側係藉由N2 氣體進行洗滌,是以流入排氣區域E之氣體不會潛入穿過旋轉機台2下方側,也不會有例如TiCl4 氣體流入NH3 氣體之供給區域的情況發生。一旦以此方式結束了成膜處理,則停止氣體之供給將真空容器1內進行真空排氣,之後停止旋轉機台2之旋轉將各晶圓W以與晶圓W搬入時為相反的動作來依序利用搬運臂10搬出至真空容器1外。
此處,若事先記載處理參數之一例,則真空容器1中心部之來自分離氣體供給管51的N2 氣體流量為例如5000sccm。此外,相對於1片晶圓W之反應氣體供給循環數(亦即晶圓W分別通過處理區域91、92之次數)係對應於目標膜厚而改變成為多數次(例如600次)。
依據上述實施形態,於真空容器1內之旋轉機台2上載置晶圓W,對此晶圓W供給反應氣體而於真空環境氣氛下進行氮化鈦膜之成膜之際,於進行薄膜之成膜處理時,係使得旋轉機台2與各氣體噴嘴31、32、41、42以100rpm以上相對地在真空容器1之圓周方向上旋轉。是以,反應氣體之供給循環(或是反應產物之成膜循環)可於高速下進行,所以可迅速形成薄膜,可提高生產量。此外,由於反應氣體之供給循環間之時間極短,可在基板表面所生成之反應產物之結晶化所造成之粒徑粗大化發生之前,讓下一反應產物層積層於上層側,也就是藉由上層側反應產物來控制下層側反應產物之原子、分子之遷移(移動),結果可抑制會使得表面形狀惡化之遷移。從而,相較於以習知CVD法、循環間之時間長之ALD法所形成之薄膜,可得到表面形狀平滑之薄膜。
是以,將此TiN膜作為次世代電容電極例如ZrO(氧化鋯)、TiO(氧化鈦)、TaO(氧化鉭)等之障壁膜使用之情況,可抑制該電極之電荷集中,得到良好的電氣特性。此外,於半導體裝置之多層構造,即便於接觸孔等凹部(用以對上層側配線層與下層側配線層之間的層間絕緣膜中填埋將此等配線層彼此加以連接之鋁等金屬層)係使用此TiN膜作為防止金屬層朝層間絕緣膜擴散之障壁膜的情況,即便此接觸孔之高寬比高達50程度之情形,同樣地可迅速地得到表面平滑、被覆性高之薄膜。
此外,關於吸附於晶圓W上之吸附膜151的膜厚t1,由於較飽和膜厚t0來得薄,所以即便發生TiN粒子之結晶化也可將成長之晶粒尺寸限制於極小。亦即,於本實施形態,可說藉由使得旋轉機台2進行高速旋轉,可將吸附膜151之膜厚t1予以薄化(減低晶粒尺寸)控制。
另一方面,當將旋轉機台2之旋轉數設定為低速(例如30rpm以下)來進行TiN膜152之成膜處理的情況,如圖9A所示般,例如吸附膜151之膜厚t2會大致與飽和膜厚t0相等,薄膜表面形態結構會惡化。亦即,如圖9B所示般,若對形成有此吸附膜151之晶圓W供給NH3氣體來生成TiN膜152,由於由TiCl4 之吸附膜151的形成以及此吸附膜151之氮化所構成之處理循環間之時間耗費長時間,是以如圖9C所示般,在對TiN膜152之上層形成下一TiN膜153為止之間,會因為該TiN膜152中發生TiN粒子之結晶化造成原子、分子之遷移(移動),表面形態結構會惡化。此時,由於吸附膜151之膜厚t2變得較前述膜厚t1來得厚,所以有時隨結晶化而成長之粒子的尺寸(表面狀態之惡化)也會對應於此膜厚t2而變大。
是以,若對此表面狀態粗糙之TiN膜152表面供給TiCl4 氣體,如圖9D所示般,由於上層側吸附膜151係仿傲下層側TiN膜152表面來形成,所以該吸附膜151表面也會和TiN膜152同樣地成為粗糙狀態。之後,若對此上層側吸附膜151供給NH3 氣體,由於同樣地上層側TiN膜153也會進行結晶化,所以表面會變得更為粗糙。若以此方式依序積層之TiN膜分別進行結晶化,所得薄膜表面會成為凹凸極大之狀態。從而,當將旋轉機台2之旋轉數設定於此種低速來進行成膜處理之情況下,要控制表面形態結構會變得極為困難。此外,若將旋轉機台2之旋轉數設定為慢速,則成膜速度也會變慢。
由以上可知,於本實施形態,藉由將旋轉機台2之旋轉數設定於高速來進行TiN膜之成膜,可迅速地形成表面形態結構良好之TiN膜。此處,於本實施形態之成膜裝置,係對向於旋轉機台2上之晶圓W設置有各反應氣體噴嘴31、32,所以亦可例如增加反應氣體之流量或是設定高處理壓力,來讓晶圓W上所吸附之反應氣體之吸附量達到飽和。即使於此種情形,由於旋轉機台2係以高速旋轉,所以可在TiN膜152之結晶化進行之前接著形成上層側TiN膜153,可得到良好表面形態結構之薄膜。此外,由於可調整各反應循環之膜厚,乃可進一步提高生產量。如此般,即便是增加反應氣體之供給量或是提高處理壓力之情況,各反應氣體也同樣地被個別排氣。
作為前述第1反應氣體,除了上述例之外,亦可使用含Ti之氣體例如TDMAT(四二甲胺鈦)氣體等,作為第2反應氣體亦可使得NH3 氣體產生自由基化來使用。此外,旋轉機台2之旋轉數若過高則例如薄膜之被覆性會變低,所以亦可為例如240rpm以下。亦即,於後述實施例中,在進行TiN膜之成膜實驗之時,即使旋轉機台2之旋轉數為240rpm也會成為良好之被覆性,故可說只要至少240rpm以下即可得到良好之被覆性。
[第2實施形態]
於上述第1實施形態,係使得包含TiCl4 氣體之吸附膜151的形成以及此吸附膜151之氮化所致TiN膜152之形成的成膜循環反覆進行複數次來形成薄膜,惟於例如TiN膜152含有雜質之情況等,亦可於成膜循環之間對TiN膜152進行電漿處理。針對以此方式進行電漿處理之情況之成膜裝置之一例,以第2實施形態來參照圖10~圖12而於以下說明。圖10~圖12中之與圖1~圖6相同部份係賦予同一符號而省略其說明。
於此例中,如圖10所示般,係例如於相對於搬運口15在旋轉機台2之旋轉方向R上游側設有前述第2反應氣體噴嘴32。此外,於第2反應氣體噴嘴32與該第2反應氣體噴嘴32在旋轉機台2之旋轉方向R下游側的分離區域D之間係設有用以對晶圓W進行電漿處理之活性化氣體注射器220。此活性化氣體注射器220係具備有:氣體導入噴嘴34以及一對套管(未圖示),係從旋轉機台2外周側朝旋轉中心側對該旋轉機台2作水平延伸者;以及,例如石英所構成之蓋體221,係將配置此等氣體導入噴嘴34以及一對套管之區域沿著長度方向從上方側加以覆蓋所設者,與前述噴嘴蓋120為同樣的構成。圖11中222乃設定為與前述整流構件121為同樣尺寸之氣流控制面222,圖12中之223係為了從真空容器1之頂板11下掛蓋體221而沿著該蓋體221之長度方向所設置之支持體。此外,圖10中37係與套管之基端部(亦即真空容器1之內壁側)連接著之保護管。
於真空容器1之外部設有圖10所示之高頻電源180,經由整合器181來對埋設於套管內之未圖示電極供給例如13.56MHz以及例如1500W以下之高頻電力。氣體導入噴嘴34係經由其側方側沿長度方向於複數部位所形成之氣體孔341而將電漿產生用處理氣體之例如NH3 氣體以及H2 氣體之至少一者從真空容器1外部朝套管水平地噴出。
於此第2實施形態中,在進行成膜處理之情況,係從各氣體噴嘴31、32、41、42將各氣體供給於真空容器1內,並從氣體導入噴嘴34將電漿生成用處理氣體(例如NH3 氣體)以既定流量例如5000sccm供給於真空容器1。此外,從未圖示之高頻電源對上述電極供給既定值之電力例如400W之高頻。
活性化氣體注射器220,從氣體導入噴嘴34朝上述套管噴出之NH3 氣體係藉由對該等套管間所供給之高頻而被活性化成為離子等活性種,此活性種(電漿)係朝下方噴出。如圖13A以及圖13B所示般,表面形成有吸附膜151、接著此吸附膜151受到氮化而形成TiN膜152之晶圓W,一旦到達活性化氣體注射器220之下方區域,會如圖13C所示般暴露於電漿中,當例如表面之TiN膜152中含有Cl(氯)等雜質之情況,此雜質將會從膜中被排出。然後,與前述第1實施形態同樣地,如圖13D所示般,之後於該TiN膜152上層側迅速地積層下一TiN膜153,下層側TiN膜152之原子、分子之移動受到限制。藉由如上方式使得吸附膜151之生成、此吸附膜151之氮化所致TiN膜152之生成、以及利用電漿來降低(或是去除)雜質此等依照此順序反覆進行複數次,可迅速形成雜質極少且表面平滑之薄膜。
依據此第2實施形態,除了前述第1實施形態之效果,尚具有以下效果。亦即,由於可藉由對晶圓W進行電漿處理來降低薄膜中之雜質量,所以可提高電氣特性。此外,由於每當於真空容器1之內部進行成膜循環時進行著改質處理,亦即晶圓W沿旋轉機台2之圓周方向通過各處理區域91、92之路徑中途以不致干涉成膜處理的方式進行著改質處理,所以相較於例如薄膜之成膜結束後進行改質處理,能以短時間來進行改質處理。
此外,於前述例中,係相對於氣體供給系統(亦即噴嘴31、32、41、42)使得旋轉機台2進行旋轉,惟亦可相對於旋轉機台2使得氣體供給系統在圓周方向上旋轉。
接著,針對用以確認上述實施形態之成膜裝置以及成膜方法之效果所進行之實驗作說明。
(實驗例1)
首先,將旋轉機台2之旋轉數如以下所示般作各種變更來進行TiN膜之成膜,所得到之TiN膜表面係使用SEM(電子顯微鏡)來觀察。此外,針對其他成膜條件例如反應氣體之供給量以及處理壓力等,由於各實驗例係採用相同條件,故省略說明。此外,晶圓W之加熱溫度係設定為250℃以上(例如400℃)。
(旋轉機台2之旋轉數:rpm)
比較例1:30
實施例1:100或是240
(實驗結果)
如圖14A~圖14C所得實驗結果之SEM照片所示般,以比較例1而言如圖14A所示般確認了表面狀態粗糙,而接近於使用習知CVD法或SFD法所成膜之面狀態。如前述般,由於TiN係於250℃以上結晶化,是以一般認為當此實驗之加熱溫度並不會特別妨礙TiN粒子結晶化之情況下,起因於TiN粒子結晶化之凹凸會顯現於膜表面。
另一方面,經確認得知:如實施例1所示般,當提高旋轉機台2之旋轉數而成為100rpm之情況,如圖14B所示般TiN膜表面形態結構會提升,進而若為240rpm之情況,如圖14C所示般表面會成為極度平滑。從而,確認了藉由使得旋轉機台2進行高速旋轉,則如前述般成膜循環間之時間變短,可藉由上層側TiN膜來抑制下層側TiN膜之結晶化。
(實驗例2)
接著,以與實驗例1同樣的條件針對成膜後之各樣品,使用AFM(原子力顯微鏡)來測定TiN膜表面粗度。此外,測定長度為10nm。
其結果,如圖15所示般,確認了當旋轉機台2之旋轉數為30rpm之情況,表面粗度成為2nm程度,為100rpm以上之情況係成為0.5nm程度之低值。
於上述實施形態之各例中,在真空容器內藉由含Ti之第1反應氣體與含N之第2反應氣體來於基板表面形成氮化鈦膜之際,係使得用以載置基板之機台以及將前述2種類之反應氣體分別供給於機台上基板之第1反應氣體供給機構以及第2反應氣體供給機構在真空容器之圓周方向上相對地以100rpm以上進行旋轉而交互地供給兩反應氣體。是以,前述兩反應氣體之供給循環可高速地進行,可迅速地形成氮化鈦膜。此外,由於兩反應氣體之供給循環間之時間可極度縮短,所以可在因基板表面所生成之反應產物之結晶化所致粒徑之粗大化進行之前即於上層側積層下一反應產物層,也就是可藉由上層側反應產物來限制下層側反應產物之原子、分子之遷移(移動)。其結果,可得到表面形態結構良好(表面形狀平滑)之氮化鈦膜。
以上,針對本發明之較佳實施形態作了描述,惟本發明並不限定於相關特定之實施形態,可在申請專利範圍內所記載之本發明之主旨範圍內進行各種變形、變更。
本專利申請係基於2009年12月25日於日本專利廳提出申請之特願2009-295351主張優先權,參照該專利申請之全部內容而包含於本案中。
1...真空容器
2...旋轉機台
4...凸狀部
5...突出部
7...加熱器單元
10...搬運臂
11...頂板
12...容器本體
13...密封構件
14...底面部
15...搬運口
16...昇降銷
20...盒體
21...核心部
22...旋轉軸
23...驅動部
24...凹部
31,32...反應氣體噴嘴
31a,32a...氣體導入埠
33...噴出孔
34...氣體導入噴嘴
37...保護管
40...噴出孔
41,42...分離氣體噴嘴
41a,42a...氣體導入埠
43...溝槽部
44,45...天花板面
46...彎曲部
51...分離氣體供給管
52...空間
61,62...排氣口
63...排氣流路
64...真空泵
65...壓力調整機構(壓力調整裝置)
71...蓋構件
72,73...洗滌氣體供給管
85...記憶媒體
91,92...處理區域
100...控制部
100A...處理器
100B...記憶部
120...噴嘴蓋
121...整流構件
151...TiCl4 吸附膜
152,153...TiN膜
180‧‧‧高頻電源
181‧‧‧整合器
220‧‧‧活性化氣體注射器
221‧‧‧蓋體
222‧‧‧氣流控制面
223‧‧‧支持體
341‧‧‧氣體孔
C‧‧‧中心部區域
D‧‧‧分離區域
E1‧‧‧第1排氣區域
E2‧‧‧第2排氣區域
W‧‧‧晶圓
圖1係顯示本發明之第1實施形態之成膜裝置一例的縱截面圖。
圖2係顯示第1實施形態之成膜裝置內部之概略構成一例的立體圖。
圖3係顯示第1實施形態之成膜裝置的橫斷俯視圖。
圖4A、4B係顯示前述成膜裝置之處理區域以及分離區域一例的縱截面圖。
圖5A、5B係更詳細顯示前述成膜裝置之處理區域以及分離區域一例的縱截面圖。
圖6係顯示前述成膜裝置之部份份的縱截面圖。
圖7A~7D係顯示前述成膜裝置中於形成TiN膜時之作用之一例的示意圖。
圖8係顯示前述成膜裝置之真空容器內氣流之一例的概略圖。
圖9A~9D係顯示使用習知之ALD法來形成TiN膜之情況之作用之一例的示意圖。
圖10係顯示本發明之第2實施形態之成膜裝置之一例的俯視圖。
圖11係顯示第2實施形態之成膜裝置之部份分解立體圖。
圖12係顯示第2實施形態之成膜裝置之部份放大截面圖。
圖13A~13D係顯示第2實施形態之成膜裝置之作用之一例的示意圖。
圖14A~14C係顯示於本發明之實施例所得實驗結果之特性圖。
圖15係顯示於本發明之實施例所得實驗結果之特性圖。
1...真空容器
2...旋轉機台
5...突出部
7...加熱器單元
11...頂板
12...容器本體
13...密封構件
14...底面部
20...盒體
21...核心部
22...旋轉軸
23...驅動部
45...天花板面
51...分離氣體供給管
61...第1排氣口
63...排氣流路
64...真空泵
65...壓力調整機構(壓力調整裝置)
71...蓋構件
72,73...洗滌氣體供給管
85...記憶媒體
100...控制部
100A...處理器
100B...記憶部
C...中心部區域
E1...第1排氣區域

Claims (12)

  1. 一種成膜裝置,具備有:機台,係設置於真空容器內,設有用以載置基板之基板載置區域;第1反應氣體供給裝置與第2反應氣體供給裝置,係於該真空容器之圓周方向上相互分離設置,對該機台上之基板分別供給含Ti之第1反應氣體以及含N之第2反應氣體;分離區域,係設置於被供給該第1反應氣體之第1處理區域與被供給該第2反應氣體之第2處理區域之間,將兩反應氣體加以分離;旋轉機構,係使得該第1反應氣體供給裝置以及該第2反應氣體供給裝置和該機台在該真空容器之圓周方向上進行相對旋轉,以讓該基板依序位於該第1處理區域與該第2處理區域;真空排氣裝置,係將該真空容器內加以真空排氣;以及控制部,當對於該基板進行成膜時,使得該第1反應氣體供給裝置與該第2反應氣體供給裝置以及該機台經由該旋轉機構以100rpm以上進行旋轉;其中在該真空容器內,將該第1反應氣體與該第2反應氣體依序供給至該基板表面來形成氮化鈦膜;進一步具備活性化氣體注射器,來對該機台上之基板供給NH3 氣體或是H2 氣體之至少一者的電漿; 該活性化氣體注射器,係藉由該旋轉機構而連同該第1反應氣體供給裝置以及該第2反應氣體供給裝置對該機台進行相對旋轉,並配置成為於進行該相對旋轉時,該電漿於該第2處理區域與該第1處理區域之間被供給至該基板。
  2. 如申請專利範圍第1項之成膜裝置,其中該分離區域係具備有用以供給分離氣體之分離氣體供給裝置。
  3. 如申請專利範圍第2項之成膜裝置,其中該分離區域係具備有:該分離氣體供給裝置;以及,天花板面,係位於該分離氣體供給裝置之該圓周方向兩側,用以與該機台之間形成讓分離氣體自該分離區域流向處理區域側之狹隘空間。
  4. 如申請專利範圍第1項之成膜裝置,其中該第1反應氣體供給裝置以及該第2反應氣體供給裝置係與該第1處理區域以及該第2處理區域之個別的天花板面相隔離而分別設於該基板附近,朝該基板方向分別供給該第1反應氣體以及該第2反應氣體。
  5. 一種成膜方法,係於真空容器內將含Ti之第1反應氣體以及含N之第2反應氣體依序供給至基板表面來形成氮化鈦膜;包含下述製程:自在該真空容器之圓周方向上相互分離設置之第1反應氣體供給裝置以及第2反應氣體供給裝置,來對設有用以載置該基板之基板載置區域的機台表面分別供給該第1反應氣體以及該第2反應氣體之製程; 於被供給該第1反應氣體之第1處理區域與被供給該第2反應氣體之第2處理區域之間所設置的分離區域,來分離兩反應氣體之製程;使得該第1反應氣體供給裝置以及該第2反應氣體供給裝置和該機台相對地在該真空容器之圓周方向上以100rpm以上進行旋轉,而讓該基板依序位於該第1處理區域與該第2處理區域之製程;以及將該真空容器內進行真空排氣之製程;進一步包含有自活性化氣體注射器對該機台上之該基板供給NH3 氣體或是H2 氣體之至少一者電漿之製程;其中該旋轉製程係使得該活性化氣體注射器連同該第1反應氣體供給裝置以及該第2反應氣體供給裝置對該機台進行相對旋轉,以於該相對旋轉時,在該第2處理區域與該第1處理區域之間對該基板供給該電漿。
  6. 如申請專利範圍第5項之成膜方法,其中該分離兩氣體之製程係自分離氣體供給裝置對該分離區域供給分離氣體。
  7. 如申請專利範圍第6項之成膜方法,其中該分離氣體係自該分離氣體供給裝置供給至狹隘空間,其係位於該分離氣體供給裝置在該圓周方向兩側、用以使得分離氣體自該分離區域流向處理區域側而設置於該機台與該真空容器之天花板面之間。
  8. 如申請專利範圍第5項之成膜方法,其中供給該第1 反應氣體以及該第2反應氣體之製程,係自與該第1處理區域以及該第2處理區域之個別的天花板面相隔離而分別設置於該基板附近之該第1反應氣體供給裝置以及該第2反應氣體供給裝置,來朝向該基板方向分別供給該第1反應氣體以及該第2反應氣體。
  9. 一種實體之電腦可讀取記憶媒體,係儲存有程式,一旦由電腦實行該程式,該電腦會實行成膜裝置之處理,該成膜裝置係於真空容器內將含Ti之第1反應氣體以及含N之第2反應氣體依序供給至基板表面而形成氮化鈦膜;該處理包含:使得該電腦實行下述工作:自於該真空容器之圓周方向上相互分離設置之第1反應氣體供給裝置以及第2反應氣體供給裝置,來對設有用以載置該基板之基板載置區域的機台表面分別供給該第1反應氣體以及該第2反應氣體;使得該電腦實行下述工作:在被供給該第1反應氣體之第1處理區域與被供給該第2反應氣體之第2處理區域之間所設之分離區域,使得兩反應氣體分離;使得該電腦實行下述工作:使得該第1反應氣體供給裝置以及該第2反應氣體供給裝置和該機台相對地在該真空容器之圓周方向上以100rpm以上進行旋轉,而讓該基板依序位於該第1處理區域與該第2處理區域;以及使得該電腦實行下述工作:將該真空容器內加以真 空排氣;該處理係進一步包含使得該電腦實行下述工作:自活性化氣體注射器來供給NH3 氣體或是H2 氣體之至少一者的電漿至該機台上之該基板;該旋轉工作係使得該電腦實行下述工作:使得該活性化氣體注射器連同該第1反應氣體供給裝置以及該第2反應氣體供給裝置對該機台進行相對旋轉,而於該相對旋轉時,在該第2處理區域與該第1處理區域之間對該基板供給該電漿。
  10. 如申請專利範圍第9項之電腦可讀取記憶媒體,其中該分離兩氣體之工作係使得該電腦實行下述工作:從分離氣體供給裝置對該分離區域供給分離氣體。
  11. 如申請專利範圍第10項之電腦可讀取記憶媒體,其中該分離兩氣體之工作係使得該電腦實行下述工作:自該分離氣體供給裝置將分離氣體供給至狹隘空間,其係位於該分離氣體供給裝置在該圓周方向兩側、用以使得分離氣體自該分離區域流向處理區域側而形成於該機台與該真空容器之天花板面之間。
  12. 如申請專利範圍第9項之電腦可讀取記憶媒體,其中供給該第1反應氣體以及該第2反應氣體之工作係使得該電腦實行下述工作:自與該第1處理區域以及該第2處理區域之個別的天花板面相隔離而分別設置於該基板附近之該第1反應氣體供給裝置以及該第2反應氣體供給裝置,來朝向該基板方向分別供給該第1 反應氣體以及該第2反應氣體。
TW099145678A 2009-12-25 2010-12-24 成膜裝置、成膜方法及電腦可讀取記憶媒體 TWI493073B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009295351A JP5482196B2 (ja) 2009-12-25 2009-12-25 成膜装置、成膜方法及び記憶媒体

Publications (2)

Publication Number Publication Date
TW201139727A TW201139727A (en) 2011-11-16
TWI493073B true TWI493073B (zh) 2015-07-21

Family

ID=44172782

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099145678A TWI493073B (zh) 2009-12-25 2010-12-24 成膜裝置、成膜方法及電腦可讀取記憶媒體

Country Status (5)

Country Link
US (2) US20110159188A1 (zh)
JP (1) JP5482196B2 (zh)
KR (1) KR101425253B1 (zh)
CN (1) CN102108496A (zh)
TW (1) TWI493073B (zh)

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9416448B2 (en) * 2008-08-29 2016-08-16 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, film deposition method, and computer-readable storage medium for film deposition method
JP5107185B2 (ja) 2008-09-04 2012-12-26 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
JP5445044B2 (ja) * 2008-11-14 2014-03-19 東京エレクトロン株式会社 成膜装置
US9297072B2 (en) 2008-12-01 2016-03-29 Tokyo Electron Limited Film deposition apparatus
JP5131240B2 (ja) * 2009-04-09 2013-01-30 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5692842B2 (ja) 2010-06-04 2015-04-01 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
JP5870568B2 (ja) 2011-05-12 2016-03-01 東京エレクトロン株式会社 成膜装置、プラズマ処理装置、成膜方法及び記憶媒体
JP5765154B2 (ja) * 2011-09-12 2015-08-19 東京エレクトロン株式会社 基板処理装置及び成膜装置
JP2013133521A (ja) * 2011-12-27 2013-07-08 Tokyo Electron Ltd 成膜方法
JP5803714B2 (ja) * 2012-02-09 2015-11-04 東京エレクトロン株式会社 成膜装置
KR102070400B1 (ko) * 2012-06-29 2020-01-28 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
JP6051788B2 (ja) * 2012-11-05 2016-12-27 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ発生装置
JP5939147B2 (ja) 2012-12-14 2016-06-22 東京エレクトロン株式会社 成膜装置、基板処理装置及び成膜方法
JP6010451B2 (ja) * 2012-12-21 2016-10-19 東京エレクトロン株式会社 成膜方法
JP6071537B2 (ja) * 2012-12-26 2017-02-01 東京エレクトロン株式会社 成膜方法
JP5954202B2 (ja) * 2013-01-29 2016-07-20 東京エレクトロン株式会社 成膜装置
JP6115244B2 (ja) * 2013-03-28 2017-04-19 東京エレクトロン株式会社 成膜装置
JP6118197B2 (ja) * 2013-07-02 2017-04-19 東京エレクトロン株式会社 成膜方法
JP6262115B2 (ja) 2014-02-10 2018-01-17 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP6243290B2 (ja) * 2014-05-01 2017-12-06 東京エレクトロン株式会社 成膜方法及び成膜装置
JP6221932B2 (ja) * 2014-05-16 2017-11-01 東京エレクトロン株式会社 成膜装置
KR102297567B1 (ko) * 2014-09-01 2021-09-02 삼성전자주식회사 가스 주입 장치 및 이를 포함하는 박막 증착 장비
JP6426999B2 (ja) * 2014-12-18 2018-11-21 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
JP6361495B2 (ja) * 2014-12-22 2018-07-25 東京エレクトロン株式会社 熱処理装置
TWI544973B (zh) * 2015-03-20 2016-08-11 家登精密工業股份有限公司 半導體容器清洗機的運作方法
JP6447393B2 (ja) * 2015-07-06 2019-01-09 東京エレクトロン株式会社 成膜処理装置、成膜処理方法及び記憶媒体
JP6548586B2 (ja) * 2016-02-03 2019-07-24 東京エレクトロン株式会社 成膜方法
KR102483547B1 (ko) 2016-06-30 2023-01-02 삼성전자주식회사 가스 공급 유닛 및 이를 포함하는 박막 증착 장치
JP6733516B2 (ja) 2016-11-21 2020-08-05 東京エレクトロン株式会社 半導体装置の製造方法
CN109778139B (zh) * 2017-11-13 2021-06-22 中芯国际集成电路制造(北京)有限公司 一种改善化学气相沉积腔室中加热器加热性能的方法及装置
US20200017969A1 (en) * 2018-07-10 2020-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Device, Method, and Tool of Manufacture

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US20040052972A1 (en) * 2002-07-03 2004-03-18 Jacques Schmitt Method and apparatus for ALD on a rotary susceptor
US20080193643A1 (en) * 2007-02-12 2008-08-14 Tokyo Electron Limited Atomic layer deposition systems and methods

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3650042A (en) * 1969-05-19 1972-03-21 Ibm Gas barrier for interconnecting and isolating two atmospheres
SE393967B (sv) * 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
JPH04287912A (ja) * 1991-02-19 1992-10-13 Mitsubishi Electric Corp 半導体製造装置
US5338362A (en) * 1992-08-29 1994-08-16 Tokyo Electron Limited Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments
JP2000192234A (ja) * 1998-12-28 2000-07-11 Matsushita Electric Ind Co Ltd プラズマ処理装置
US6812157B1 (en) * 1999-06-24 2004-11-02 Prasad Narhar Gadgil Apparatus for atomic layer chemical vapor deposition
US6576062B2 (en) * 2000-01-06 2003-06-10 Tokyo Electron Limited Film forming apparatus and film forming method
JP4817210B2 (ja) * 2000-01-06 2011-11-16 東京エレクトロン株式会社 成膜装置および成膜方法
US6796517B1 (en) * 2000-03-09 2004-09-28 Advanced Micro Devices, Inc. Apparatus for the application of developing solution to a semiconductor wafer
KR100498609B1 (ko) * 2002-05-18 2005-07-01 주식회사 하이닉스반도체 배치형 원자층 증착 장치
US7153542B2 (en) * 2002-08-06 2006-12-26 Tegal Corporation Assembly line processing method
US20040058293A1 (en) * 2002-08-06 2004-03-25 Tue Nguyen Assembly line processing system
JP4361747B2 (ja) * 2003-03-04 2009-11-11 東京エレクトロン株式会社 薄膜の形成方法
WO2004094695A2 (en) * 2003-04-23 2004-11-04 Genus, Inc. Transient enhanced atomic layer deposition
JP2006025312A (ja) * 2004-07-09 2006-01-26 Konica Minolta Photo Imaging Inc 撮像装置、及び画像取得方法
US20060073276A1 (en) * 2004-10-04 2006-04-06 Eric Antonissen Multi-zone atomic layer deposition apparatus and method
JP4595727B2 (ja) * 2005-07-22 2010-12-08 ソニー株式会社 外力推定システム及び外力推定方法、並びにコンピュータ・プログラム
US20070218702A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
FR2903407B1 (fr) * 2006-07-07 2008-10-03 Univ Provence Nouvelles nitrones portant une charge positive utilisables pour le piegeage de radicaux libres et notamment le radical superoxyde
WO2008016836A2 (en) * 2006-07-29 2008-02-07 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
US20090324826A1 (en) * 2008-06-27 2009-12-31 Hitoshi Kato Film Deposition Apparatus, Film Deposition Method, and Computer Readable Storage Medium

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US20040052972A1 (en) * 2002-07-03 2004-03-18 Jacques Schmitt Method and apparatus for ALD on a rotary susceptor
US20080193643A1 (en) * 2007-02-12 2008-08-14 Tokyo Electron Limited Atomic layer deposition systems and methods

Also Published As

Publication number Publication date
TW201139727A (en) 2011-11-16
JP2011132589A (ja) 2011-07-07
KR101425253B1 (ko) 2014-08-01
JP5482196B2 (ja) 2014-04-23
US20150184294A1 (en) 2015-07-02
KR20110074698A (ko) 2011-07-01
CN102108496A (zh) 2011-06-29
US20110159188A1 (en) 2011-06-30

Similar Documents

Publication Publication Date Title
TWI493073B (zh) 成膜裝置、成膜方法及電腦可讀取記憶媒體
JP5031013B2 (ja) 成膜装置、成膜装置のクリーニング方法、プログラム、プログラムを記憶するコンピュータ可読記憶媒体
US20090130331A1 (en) Method of Forming Thin Film and Method of Manufacturing Semiconductor Device
KR20100103416A (ko) 성막 장치
JP2001254181A (ja) 成膜装置および成膜方法
KR101775203B1 (ko) 성막 방법
JP2011066263A (ja) 半導体装置の製造方法および基板処理装置
JP2019003998A (ja) 成膜装置、成膜装置のクリーニング方法及び記憶媒体
TW201705275A (zh) 基板處理裝置及基板處理方法
KR101862907B1 (ko) 성막 방법
JP2013133521A (ja) 成膜方法
JP6869024B2 (ja) パーティクル除去方法及び基板処理方法
CN105938796A (zh) 基板处理装置以及基板处理方法
KR102491924B1 (ko) 성막 방법 및 성막 장치
KR102023434B1 (ko) 성막 방법 및 성막 시스템, 그리고 표면 처리 방법
JP4114746B2 (ja) 成膜方法
TW202117850A (zh) 成膜方法及成膜裝置
JP5568342B2 (ja) 半導体装置の製造方法、基板処理方法および基板処理システム
TW202125621A (zh) 半導體裝置之製造方法、程式及基板處理裝置
TW202124756A (zh) 成膜方法及成膜裝置
TW200525616A (en) Film formation method and apparatus for semiconductor process
JP6441050B2 (ja) 成膜方法
CN110565072B (zh) 一种原子层沉积方法
WO2012096293A1 (ja) TiSiN膜の成膜方法および記憶媒体
JP2004217956A (ja) 基板処理装置

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees