TW387019B - Film forming apparatus and method - Google Patents

Film forming apparatus and method Download PDF

Info

Publication number
TW387019B
TW387019B TW087107812A TW87107812A TW387019B TW 387019 B TW387019 B TW 387019B TW 087107812 A TW087107812 A TW 087107812A TW 87107812 A TW87107812 A TW 87107812A TW 387019 B TW387019 B TW 387019B
Authority
TW
Taiwan
Prior art keywords
line
vacuum
chamber
gas
trap
Prior art date
Application number
TW087107812A
Other languages
English (en)
Inventor
Teruo Iwata
Kazuichi Hayashi
Yuichiro Fujikawa
Takashi Horiuchi
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP14704997A external-priority patent/JP3626833B2/ja
Priority claimed from JP16487997A external-priority patent/JP3563565B2/ja
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Application granted granted Critical
Publication of TW387019B publication Critical patent/TW387019B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Description

A7 B7 發明説明(1 ) 本發明偽大致有關於一種薄膜形成裝置及用以在一室 内形成一薄膜,如一薄T i膜的方法,並且特別是,有關於· •-種薄膜形成裝置與方法,其中在薄膜形成之後,一清潔 氣體被供應到該室.中以清潔該室之内邰。 在半導體裝置中,以金屬為基底之薄膜被用來做為, 如,一金屬佈線層、一埋入層,該埋入層被埋在做為一下 方裝置層與一上方佈線層之間之一連接部份之一接頭孔中 ,或者在做為在上與下佈線層之間的一穿孔中。該埋入層 . 霄氣連接該等上與下佈線層,並且形成有一兩層式障壁層 以防止在形成該埋入層之前雜質^擴散並且形成一 T i (鈦 )膜與一 T i N (氮化鈦)膜。 這種金屬為基底之薄膜通常是使用物理蒸氣沈積(PVD) 形成,近年來,特別地需要微圖案之裝置及高結合度,並 且持別是設計的規則變得愈來愈嚴格。因此,線寬度與該 孔之開口直徑變得更小,此外,當長寬比增加時,構成該 障壁層之Ti膜變得難以在該孔底部藉PVD膜可靠地形成。 因此,構成該障壁層之該ΤI膜與該T i N膜偽藉由可形 成一較高品質之薄膜的化學蒸氣沈積(CVD)來形成’通常 ,為了藉由CVD形成該Ti膜,TiClt氣體及Ha氣體被用來 做為一反應氣體使用。為了形成該TiH膜,TiCU氣體、 及關3 (氨)氣體或MMH (單甲基聯氨)氣體被用來做為 一反應氣體使用。 ‘ 當類似上述者之一薄膜藉由CVD形成時’一膜沈積在 做為受到膜成形處理之一基材的一半導體晶圓上,並且沈 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) --------® I^--- .(請先聞讀背面之注意寧項再填寫本頁) 訂 經濟部中央標準局員工消費合作社印製. 經濟部中央標準局貝工消費合作社印製 A7 B7 五、發明説明(2 ) 積物也附著在一室之内壁上。所以,在下一次膜成形之前 ,該室之内部必須加以清潔。最近,在這清潔步驟中,該 室壁與一基座被加熱而C1F3氣體被導入該室中以分解該等 沈積物,並且該分.解産品並使巧具有一真空泵之真空条統 排出。 如果使用C1F3氣體,TiF*在該室内産生成為一副産物 ,並且該副産物附箸於該真空条統·中,因此,在習知方法 中,如在曰本專利申請案第8-176829號中所掲露者,延伸 , 到一真空管之捕集器之該真空的一部份被加熱到一預定溫 度以防止TiF4附著於該真空条統内部。 一高真空泵,如一拖曳泵被設置在該前段處,上達到 該真空管的捕集器,在加熱該真空管時,該高真空泵也被 加熱。如果該泵被加熱到可防止TiF4附#的一高溫時,該 高真空泵之轉子會潛變破裂。 為解決這問題,該捕集器可以設置在該高真空泵之前 段以避免加熱該高真空泉。但是,藉這方法,該高真空泉; 必須具有大容量,並且由該捕集器所産生的蒸氣會影響該 室。 本發明之一目的為提供一薄膜形成裝置與方法,其中 在清潔排氣時不會在加工清潔副産物時發生問題。 嵌據本發明之一恃擻傺提供一薄膜形成裝置,包含: 一室,其具有一真‘空口以容裝一加工基材, , 用以將一薄膜形成氣體供應到該室以便對該加工基材 進行薄膜形成加工的薄膜形成構件, -6 - 本紙張^度適用中國國家標準(€阳)八4規格(210'乂297公釐) ---,>---0—^II .(請先閱讀背面之注項再填寫本頁) 訂 .參 經濟部中央標準局員工消費合作社印製. A7 ___ _B7_ 五、發明説明(3 ) 用以將一清潔氣體供應到該室以清潔該室之内部的清 潔構件,以及 用以將氣體由該室排出的真空構件, 該真空構件具.有連接於該等之真空口的一主真空管線 、設置在該主真空管線之一上游側上的一高真空泵、設置 在該主真空管線之一下游側的一粗控制真空泵、連接於該 主真空管線以分流該高真空泵並且‘具有連接於該真空口與 該高真空泵之間之一第一連接部份及連接在該高真金泵與 , 該粗控制真空泵之間之一第二連接部份的一分流管線、設 置在該分流管線上的一捕集器、fe置在該第一連接部份及 該捕集器之間用以加熱由該第一連接部份流到該捕集器之 氣體的一加熱裝置、以及用以選擇性地開啓/關閉該主真 空管線及該分流管線以便讓在該室中之氣體流經其中一管 線的裝置。 在具有這種結構之薄膜形成裝置中,在薄膜形成加工 時,詼室之内部可以經由該主真空管線被該高真空泵排空 。在清潔加工時,當分流該高真空泵之該分流管線被加熱 到一預定溫度時,該室之内部可以經由該分流管線被該粗 控制真空泵排空。因此,該清潔副産物可以被加工而且不 會影響該主真空管線,並且由於處理該清潔副産物所産生 之問題得以避免。 當一含鈦氣體被用‘來當做薄膜形成氣體時,該室之内 部可以藉由使用一含氟氣體做為一清潔氣體而得以令人滿 意地被清潔。此時,氟化鈦在該室中産生成為一副産物, —^ 本紙張尺度適用中國國家標準(CNS ) A4規格(210 X 297公釐) 1'-------#丨裝------訂------'..i (請先聞讀背面之注意事項再填寫本頁) A7 ___ —__B7_ 五、發明説明(4 ) 但是•如上所述地,該室之内部可以在薄膜形成加工時經 由該主真空管線被排空,並旦當該分流管線被加熱到一預 定溫度時,在清潔加工時經由該分流管線被排空。因此, 氣化鈦可以被加熱並且處理而且不會影響該主真空管線, 並且由於處理該清潔副産物所産生之問題得以避免。 該高真空泵係以設置在環繞該室之一外壁内側,即, 在該裝置主體内為佳,該粗控制真·空泵與該捕集器則必須 設置在該外壁外側,即,在該裝置主體外側。以此結構, 在維修時,如替換該捕集器時,只有該裝置主體之外側的 部份被移除,並且可得到良好的k修效果,為了在此時只 移除在該裝置主體之出口側上之真空条統的一部份,該主 真空管線之部份及該分流管線之部份必須共用。 本發明之另一特歡像提供一薄膜形成裝置,包含 一室,其具有一真空口以容納一加工基材, 用以將一薄膜形成氣體供應到該室以便對該加工基材 進行薄膜形成加工的薄膜形成構件, 用以將一清潔氣體供應到該室以清潔該室之内部的清 潔構件,以及 用以將氣體由該室排出的真空構件, 該真空構件具有連接於該室之真空口的一主真空管線 、設置在該主真空管線上的一真空泵構件、分流該主真空 管線之一部份的一分流‘管線、用以選擇性地開啓/關閉該 主真空管線及該分流管線以便讓在該室中之氣體流經其中 一管線的裝置、用以捕捉由_清潔氣體所産生之一産物並 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) ---------^I裝-- .(請先閲讀背面之注t事項再填寫本頁) 訂 經濟部中央標準局貝工消費合作社印製. A7 B7 五、發明説明(5 ) 且流經該分流管線的一捕集器、用以可分離地連接該捕集 器與該分流管線的連接構件、用以讓該真空泵構件排空該 捕集器之内部的構件、用以在該被排空之捕集器中瑱充該 一氮氣或一惰性氣體的填充構件、以及用以在該捕集器與 該分流管線分離時,防止槙充在該捕集器中之該氮氣或惰 性氣體逸出的密封構件。 依據具有這種結構之裝置,即使該捕集器與該分流管 線分離以清潔該捕集器,該主真空管線還可以操作,即使 該裝置體積不大,清潔該捕集器及薄膜形成製程可以同時
I 進行以增加産量。此外,在移除k捕集器時,在内部反應 産物中未發生化學反應。 本發明之其他目的及優點將在以下說明中提出,並且 一部份可以由該説明輕易得知,或者可以藉由實施本發明 而得知。本發明之目的及優點可以藉由在此之前特別指出 之設備與組合而:f解及得到。 在此加人並且構成本發明之一部份的附圖潁示本發明 之較佳實施例,並且與在以上所提出之一般性說明及以下 所述之該等較佳實施例的詳細説明一起用來解釋本發明之 原則。 第1画是一截面圖,顯示本發明之一實施例的一薄膜 形成裝置; 第2圖是顯示在第‘1圖中之薄膜形成裝置之真空条統 的一梘圖;以及 第3圖是顯示該真空条钸之另一實施例的一視圖。 -9 - 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) -(請先閲讀背面之注意事項再填寫本頁) 經濟部中央標準局員工消費合作社印製· I n n I fn-ln 11 n n I - -I n I n l^i I 1 I I - I - n n l·— IT -- 經濟部中央標準局員工消費合作社印製. A7 _B7__ 五、發明説明(6 ) 本發明之一實施例將配合附圖詳細說明如下。 第1圖是一截面圖*顯示本發明之一實施例之一 CVD-Ti簿膜形成裝置,這薄膜形成裝置包含具有一幾近於圖柱 形的一氣密室1 在該室1中,用以水平地支持做為一加 工物艟之一半導體晶圓W的一基座2偽被具有多數孔之一 圓柱形支持構件3所支持。用以引導該半導體晶圓W的一 導環4傜設置在該基座2之外周緣·部份處,一加熱器5被 埋設在該基座2中並且接收來自一電源6的一電力以加熱 做為一加工物體的該半導體晶圓W到一預定溫度。該電源
I 6連接到一控制器7上,該控制k 7依據來自一溫度感應 器(圖未示)之一信號控制來自該加熱器5的一輸出。 該室1之一頂壁la是由一導電材料,如鋁所製成,一 噴灑頭10設置在該頂壁la上以面對受該基座2以一預定間 距所支持的該半導體晶圓W 。許多氣體噴灑孔10a傜形成 在面對該晶圖W之該噴灑頭10的下壁中,一空間11係形成 在該噴灑頭10内,並且具有許多孔形成於其中的一擴散板 12偽水平地設置在該空間11中。用以將氣體導入在該噴灑 頭10内部之空間11的一氣體入口 13像形成在該室11之頂壁 la之中央,一氣體供應管15建接該氣體入口 13。 一 Ha源 16、一 Ar源 17、一 TiCl* 源 18、及一 C1F3源 19 偽經由多數支管15a分別連接該氣體供應管15,所需要之 氣體由這些氣源經由g氣體供應管15與該噴漏頭10被送入 該室1中,在形成一薄膜時,H2氣體、Ar氣體、及TiCU 氣體分別由該Hs源16、該Ar皞17、該TiCl4源18供應以便 -10 - 本紙張Μ適用中國國家標準(CNS ) A4規格(210X297公釐) II II I— 裝 —訂 ,Μ, ‘(請先閲讀背面之注意事項再填寫本頁) A7 ___ Β7·__ 五、發明説明(7 ) 在該半導體晶圓w上形成一T i膜。在清潔該室1之内部時 ,C1F3氣體由該C1F3源19供應到該室1中。一閥20與一質 量流控制器21係設置在由一對應氣源延伸出之各支管15a 上。 一 RF電源23經由一配合電路22連接該室1之頂壁la, 並且一 RF電力可以由該RF電源供應到該頂壁la,藉由這RF 電力,一薄膜形成氣體電漿形成在該室1中,該室1之頂 壁la及該室1之側壁傜藉由一絶緣構件14電氣絶緣,該室 偽接地的。 一真空口 8偽形成在該室1 h—底壁lb中,用以排空 該室1之内部的一真空条統9與該真空口 8連接以便位於 該室1之外侧。 如第2圖中所示,該真空条統9包含一主真空管線31 ,該主真空管線31之上游側連接該室1之真空口8 ,一高 真空泵33如一拖曳泵或渦輪分子泵設置在該主真空管線31 之前段上,而一粗控制真空泵34如一乾式泵或旋轉泵設置 在後段上。該室1之内部偽被該乾式泵34所排空並且再被 該抱曳泵33排空到一高度真空狀態。 一分流管線32由該主真空管線31之該拖曳泵33之一上 游侧部份(分支點或第一連接部份A )分支出來,該分流 管線32分流該拖曳泵33並且連接該主真空管線31之乾式泵 34,即,在這些泵33與‘34之間的一部份(第三連接部份) 。該分流管線32具有位在容納該室1之該裝置主體内側的 一前段部份32a ,以及位在該裝置主體外側之一後段部份 -11 - 本紙張尺度適用中國國家標準(CNS } A4規格(210X297公釐) -(請先閲讀背面之注意事項再填寫本頁) -裝· 訂 經濟部中央標準局員工消費合作社印製· A7 ^~______ B7_ 五、發明説明(8 ) 32b 。用以捕捉在氣體中之反應産物的一捕集器35傜設置 在該後段部份32b處,一加熱裝置36 (在第2圖中,只由 波浪線表示且其細部結構將因簡化而省略)像設置在向上 到達該捕集器35之該分流管線之一部份及相對該主真空 管線31之該分支點A之一上游部份之間。該加熱裝置可以 是環繞該分流管線32的一電氣加熱器、與該分流管線同軸 地設置且在其外側並且一熱媒流過'其中的一導管、或者用 以加熱流經該分流管線到該捕集器35的另一適當裝置。在 , 這實施例中,該加熱裝置36係設置到達該捕集器35,但是 ,因為該分流管線在該一移除部fee之邊界處被分開以移 開該捕集器35,如稍後所述者,該加熱裝置36可以在該移 除部份C處分開或者只設置到達該移除部份C 。 靠近在該裝置主體之一外壁41中之一出口,該分流管 線32之前段部份32a具有一匯入部份32c ,在該處該分流 經濟部中央標準局員工消費合作社印製 管線32藉由該第三連接部份匯入該主真空管線31以形成一 共通管路。該後段部份32b在該裝置主體之外壁外側的一 部份(分支點或第四連接部份& )處由該主真空管線32分 支出來,延伸穿過該捕集器35、並且再於靠近該乾式泵34 之入口處,即在該第二連接部份處與該主真空管線31連接 。該真空条統9中,具有該拖曳泵33之一前段真空糸統9a 僳設置在該裝置主體之内側,並且具有該乾式泵34及該捕 集器35之一後段真空条統9b偽設置在該裝置主體之外側。 在該裝置主體内側之該主真空管線31的部份及在該裝置主 體之外側的部份可分離地連接,在該裝置主體之外壁41上, -12 - 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐> A7 B7 i、發明説明(9 ) 為了達成此連接,可使用一習知裝置’如用以連接管路的 一接頭連接器。因此’例如’當該捕集器要由該真空条統 中取出以便維修勗,並且為了要再安裝於其上,該捕集器 可以在該外壁41上之主真空管線31之一部份處分離。 開啓/關閉閥37與38傜分別設置在該主真空管線31與 該分流管線32之上游側靠近分支點A處,開啓/關閉閥39 與40偽分別設置在下游側靠近分支點B處,藉由選擇開啓 /關閉這些閥,該真空通道可以在該主真空管線31側與該 分流管線32側之間切換,在該室1中進行對該半導體晶圓
I W的薄膜形成加工時,該等閥37k3q被開啓,並且該等閥 38與40被關閉以藉由該乾式泵34與該拖曳泵33經該主真空 管線31排空該室1之内部到一預定真空度。在進行清潔加 工時,該等閥37與39被關閉,並且該等閥38與40被開啓以 便在該分流管線32被該加熱裝置36加熱時,僅藉由該乾式 泵34經該分流管線32排空該室1之内部。這些閥被一控制 器(圔未示)手動地或自動地開關。 經濟部中夬標準局員工消費合作社印装 --------®I裝— .(請先閲讀背面之注意寧項再填寫本頁) iv.w 開啓/關閉閥42與43僳分別地設置在該上游與下游側 靠近該捕集器35處,如果這些閥42與43在該捕集器35被該 乾式泵排空之後被關閉,該捕集器35可以保持真空。 該移除部份C與一移除部份D傺分別形成在該上游與 下游側靠近該等閥42與43處,該捕集器35可以藉由這些移 除部份而與該真空条統_9分離。該等移除部份C與D沒有 特別的限制,只要它們可以可分離地、氣密地連接具有該 捕集器35及該等閥42與43之一管之兩端與在該上游與下游 -13 - 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) 經濟部中央標準局員工消費合作社印製 A7 B7 五、發明説明(10 ) 側上之管的相對應端即可。例如,接合連接器可以供該等 移除部份C與D使用。一開啓/關閉閥44傜設置在該分流 管線之後段部份32b處以便位於該乾式泵34與該移除部份 D之間。 該真空条統9包含一端連接在該閥40與該移除部份C 之間,並且另一端連接在該閥44與該移除部份D之間的一 氣體供應管線45,該氣體供應管線‘45具有一開啓/關閉閥 48並且經由一開啓/關閎閥46連接一 N 2不鏽鋼氣瓶或氣源 47,該不鏽鋼氣瓶47與可以選擇性地供應N 2氣體到該管線 45中。除了N2不鏽鋼氣瓶之外,fe可以使用一惰性氣源如 Ar氣源。雖然未顯示,一壓力監測感應器被安裝在各閥之 間的一管部處,該壓力變得不正常時,該感應器可以偵測 到它。 在具有這種結構的裝置中,該半導體晶圖W先被置入 該室1中,當該晶圓W被該加熱器5加熱到如450到60(TC 之溫度時*該真空条統9之閥37與39被開啓,並且該等閥 38、40與46被關閉以改變到該主真空管線31側的真空通道 。在此狀態下,該室1之内部被該乾式泵34及該拖曳泵33 排空並且保持在一高度真空狀態。該室1之内部條保持在 ,如,0.1到1托耳,並且Ar氣體、Hs氣體、及TiCl4氣體 被分別地以一預定流速預先流動大約5到20秒。這些氣體 在相同的條件下流動以便在該半導體晶圓上於一預定時間 内形成一 Ti膜,在薄膜形成之後,將該半導體晶圓W由該 室1中取出。 -14 - 本紙張尺度適用中國國家標準(CNS > A4規格(210X297公釐) ^------©—^.-- .(请先閎讀背面之注^^項再填寫本頁) 訂 ^s^ ―4*-暴· ζ/ιίν 經濟部中央標準局員工消費合作社印製. A7 ~___:_Β7__ 五、發明説明(11 ) 在這薄膜形成過程中,Ti也被沈積在該室1之内表面 上及該基座2之外表面上,因此;在薄膜形成之後,該室 1之内部要被清潔,在清潔時,薄膜形成時供應之Tici4 氣體及H2氣體被停止,並且CIF3氣體被供應到該室1中。 此時,該基座2與該室壁分別被該基座2之加熱器5及設 置在該室之壁部份上之一加熱器(圖未示)加熱到,如, 大約300 °C。因為C1F3具有高反應性,藉由此加熱,它産 生與Ti反應並且在一預定溫度或更高之溫度下氣化的四氟 , 化鈦(T i F 4 ),這産物可以被該真空糸統排出該室。卽,使 用C1F3做為清潔氧體可以以較少k锻進行清潔,並且此清 潔可以輕易地進行。 在此情形中,該主真空管線31之閥37與39及該氣體供 應管線45之阔48是關閉的,並且該分流管線32的閥38、40 、42、43、及44是開啓的以便將該真空通道切換到該分流 管線32側。在這狀態時,在到達該捕集器35之該分流管線 之部份及相對該主真空管線31之分支點A之上游部份之間 的一部份被該加熱裝置36加熱到一 TiF4氣化溫度或更高之 溫度以防止TiF4附箸。词時,該室1之内部僅藉由該拖曳 泵34經該分流管線32被排空。因此,在被該分流管線32所 引導之排出氣體中的TiF*被該捕集器35捕捉。 為了保養該捕集器35,當閥37、38、40、及42鼷閉, 並且閥43與44開啓時,’操作該乾式泵34以排空該捕集器35 之内部並且使它保持在一低壓狀態。接替,閥43與44關閉 以真空密封該捕集器35。然後,該氣體供應管線45之閥46 -15 - 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐) ;---^---_| 裝------訂------C ‘(請先閲讀背面之注意事項-S-填寫本頁) 經濟部中夬標準局員工消費合作社印製. A7 £7_ 五、發明説明(12 ) 、48被開啓以便將來自該I氣源之《2氣體供應到在閥40與 42之間的管部及在閥43與44之間的管部,並且將這些部份 改變成大氣壓。在大氣壓力下,該捕集器35在該等移除部 份C與D處與關閉之閥42與43—起被移除,因為以此方式被 移除的該捕集器35被真空密封,在該内反應産物中未發生 化學反應,在這狀態中之捕集器35被安全地傳送到一維修 位置,沖入N 2、清洗、並且再安裝·到該真空条統9上。 為了再形成一薄膜,當閥43與44被_閉以真空密封該 , 捕集器35時,該主真空管線31之閥37與39可以開啓以藉由 該主真空管線31進行一真空操作\。因此,薄膜形成加工可 以在維修該捕集器35的時候同時進行,這在薄膜形成過程 中是非常有效率的。 如上所述,因為在清潔時排氣是由該分流管線32來進 行,做為清潔副産物氣體的TiF4可以在不影響該主真空管 線31的情形下處理,所以可避免在加熱該拖曳泵時該轉子 之徐變破裂、抱曳泵之容量之增加、及由該捕集器所産生 之蒸氣對該室之影響。 在該真空条統9中,該拖曳罙33傺被設置在該裝置主 體之内部,並且該乾式泵34與該捕集器35被設置在該裝置 主體之外部。在維修,如替換該捕集器35時,只有該裝置 主體之外側部份被移除,並旦可以得到良好的維修。 在該裝置主體之夕P壁41中之出口處,該分流管線32具 有該分流管線32匯入該主真空管線31以形成一共同管部份 的該匯入部份32c ,該分流管線32在該裝置主體外側之該 -16 - 本紙張尺度適用中國國家標準( CNS ) A4規格(210X297公釐) " --------裝------訂------J: (請先閲讀背面之注意事項再填寫本頁) A7 B7 五、發明説明(13 ) 部份(分支點B )處再由該主真空管線31分支出來,該裝 置主體外側之真空条統9之該部份可以在該匯入部份32c 處移除,在維修,如替換該捕集器35時,該捕集器35僅在 該裝置主體之出口之一部份處被移除,並且可以得到較佳 的雒修效果。 請注意本發明不受限於上述實施例並且可以做不同之 變化,例如,上述實施例是該Ti膜藉由CVD形成,並且使 用C1F3來進行清潔的例子,但是,薄膜形成的種類與清潔 , 氣體之種類不限於它們。雖然附箸物對於該真空条統不會 在薄膜形成上構成問題,但是本fe B月在清潔時産生附著於 該真空条統之清潔副産物時持別地有效。 在上述實施例中,該分流管線32與該主真空管線31在 該裝置主體之出口没互相匯合以形成一管,本發明不限於 此,如第3圖中所示,該分流管線32可以是一管,並且該 真空通道可以僅藉由閥37與38來轉換。在這實施例中,剩 餘的結構與在第2圖中者大致相同,並且其說明將省略。 該加工基材不限於該半導體晶圓並且可是一LCD基材等, 此外,可以在該基材上形成另一層。 其他的優點與變化對於熟習此項技藝者將是可輕易了 解的,因此•以較廣的特徽而言,本發明不限於在此所示 與所述之細節與代表性實施例,所以,在不偏離以下申請 專利範圍及其等效物所'界定之一般性發明觀念之精神與範 疇的情形下可進行各種修改。 1 -17 - 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐> ---;-------- ·(请先閲讀背面之注意事項存填寫本頁) 訂 經濟部中央標準局員工消費合作社印製> 五 發明説明(Η ) A7 B7 經濟部中央標準局員工消費合作社印製 1 .....氣密室 la ....頂壁 lb----底壁 2 .....基座 3 .....支持構件 4 .....導璟 5 .....加熱器 6 .....電源 7 .....控制器 8 .....真空口 9 .....真空糸統 9a....前段真空糸統 9b....後段真空条統 10 ----噴灑頭 l〇a...氣髏噴灑孔 11.. ..空間 12.. ..擴散板 13.. ..氣體入口孔 14----絶緣構件 15.. ..氣體供應管 15a...支管 16.. .. Η 2 源 17····Ar源 元件標號對照 18. …Ticw源 19 ____Clf3 源 20 ____閥 21.. ..質量流控制 22.. ..配合電路 '23____RF電源 31 ----主真空管線 32 ----分流管線 32a...前段部份 32b,..後段部份 32c...匯入部份 33 ----高真空泵 34——粗控制真空泵 35 ----捕集器 36 ----加熱裝置 37— —開啓/關閉醃 38— —開啓/關閉閥 39— —開啓/關閉閥 40 ....開啓/關閉閥 41 ____外壁 42.. ..開啓/闘閉閥 43 ....開啓/關閉閥 44.. ..閲啓/關閉閥 -18 本紙張尺度適用中國國家標準(CNS ) A4規格(210X2.97公釐) .(請先閲讀背面之注意事項再填寫本頁) ^丨裝· 訂 A7 Β7· 五、發明説明(15 ) 45----氣體供應管線 46.. ..開啓/關閉閥 47.. ..氣源 48.. ..開啓/關閉閥 A.....第一連接部份 B.....第四連接部份 C.....移除部份 D.....移除部份 W.....半導體晶圓 ----------- ,(請先閲讀背面之注意事項再填寫本頁) 訂 經濟部中央標準局員工消費合作社印製. -19 - 本紙張尺度適用中國國家標準(CNS ) A4規格(210X297公釐)

Claims (1)

  1. 六、申請專利範圍 I一種薄膜形成裝置,包含: 一室,其具有一真空口这容裝一加工基材; 用以將一薄膜形成氣體供應到該室以便對該加工 基材進行薄膜形成加工的薄膜形成構件; 用以將一清潔氣體供應到該室以清潔該室之内部 的清潔構件;以及 用以將氣體由該室排出的真空構件, 該真空構件具有連接於該室之真空口的一主真空 管線、設置在該主真空管練之一上游侧上的一高真空 I 泵、設置在該主、真空管線之i下游側的一粗控制真空 泵、連接於該主真空管線以分流該高真空泵並且具有 連接於該真空口與該高真空泵之間之一第一連接部份 及連接在該高真空泵與該粗控制真空泵之間之一第二 連接部份的一分流管線、設置在該分流管線上的一捕 集器、設置在該第一連接部份及該捕集器之間用以加 熱由該第一連接部份流到該捕集器之氣體的一加熱裝 置、以及用以選擇性地開啓/關閉該主真空管線及該 分流管線以便讓在該室中之氣體流經其中一管線的裝 置。 2 .如申請專利範圍第1項之裝置,其中該薄膜形成構件 包含用以供應一含鈦氣體到該室中的一薄膜形成氣體 供應条統,並且該清潔構件包含用以供應二含氟氣體 到該室中的一清潔氣體供應糸統。 3.如申請專利範圍笫1項之,裝置,其中該高真空泵包含 -20 - 本紙張X度適用中國國家標準(CNS ) Μ規格(210X 297公趁) -------#I裝-- ,(諳先閱#背面之注意事項再填寫本頁) 、1Τ 經濟部中央標準局員工消費合作社印製. 經濟—中央標準局員工消費合作社印製. A8 B8 C8. D8 六、申請專利範圍 一抱曳泵與一渦輪分子泵其中之一,並且該粗控制真 空泵包含一乾式泵與一旋轉泵其中之一。 4.如申請專利範圍第1項之裝置,還包含環繞該室之一 外壁,並且 其中該高真空泵傺設置在該外壁内側,並且該粗 控制真空泵與該捕集器傺設置在該外壁外側。 5 .如申請專利範圍第1項之裝置,其中該分流管線包含 連接在該高真空泵與該主(真空管線之該第二連接部份 之間的一第三連接部份以及連接在該主真空管線之第 二與第三連接部扮之間的一四連接部份,並且該等 第三與第四連接部份偽被位於該第三與第四連接部份 之間之該主真空管線之一部份所連接。 6. 如申請專利範圍第.5項之裝置,其中位於該等第三與 第四之間之該主真空管線之該部份具有位於該外壁的 一第一部份及位在該外壁之外側且可與該第一部份分 離的一第二部份。 7. 如申請專利範圍第1項之裝置,其中該加熱構件大致 延伸穿過該分流管線且在該第一連接部份與該捕集器 之間。 8. 如申請專利範圍第1項之裝置,還包含: 用以將氮氣或憶性氣體填充到該捕集器中的裝置 ,、. ;以及 ’ . 可以分離填充有氮氣.或惰性氣體之該捕集器及該 分流管線但可防止該氮寫或僚氣逸出該捕集器的裝置 _ 21 - ^紙張尺度適财_家標準(CNS > _( 2丨G_x 297公趁.厂 -------~— ------卜_1 裝------訂---— —J..U 一 r ϊ (請先閲讀背面之注意事項再填寫本頁) 經濟部中央標準局負工消費合作社印裝· A8 B8 C8. D8 六、申請專利範圍 〇 9.一種薄膜形成裝置,包含:' 一室,其具有一真空口以容納一加工基材; 用以將一薄膜形成氣體供應到該室以便對該加工 基材進行薄膜形成加工的薄膜形成構件; 用以將一清潔氣體供應到該室以清潔該室之内部 的清潔構件;以及 用以將氣體由該室排出的真空構件, 該真空.構件具有連接於該室之真空口的一主真空 * 管線、設置在該主真空管線~上的一真空泵構件、分流 該主真空管線之一部份的一分流管線、用以選擇性地 開啓/關閉該主真空.管線及該分流管線以便讓在該室 中之氣體流經其中一管線的裝置、用以捕捉由該清潔 氣體所産生之一産物並且流經該分流管線的一捕集器 、用以可分離地連接該捕集器與該分流管線的連接構 件、用以讓該真空泵構件排空該捕集器之内部的構件 、用以在該被排空之捕集器中填充該一氮氣或一惰性 氣體的填充構件、以及用以在該捕集器與該分流管線 分離時,防止填充在該捕集器中之該氮氣或惰性氣體 逸出的密封構件。 10 ,如申請專利範圍第9項之裝置,其中該填充構件包含 一氤氣或惰性氣纒源、用以連接該氮氣或惰性氣體源 及該分流管線的一氣體供應管線、以及設置在該氣體 供應管線上的一氣體供_開啓/關閉閥。 -22 - 本紙張Ut用中國國家標準(CNS ) 格(210 X 297公釐) ---------— —------.訂----.1 ,(請先聞讀背面之注意事項再填寫本貰) A8 38^019 ?88 D8. __ 六、申請專利範圍 11. 如申請專利範圍第10項之裝置,其中該氣體供應管線 具有在該捕集器之一上游側上連接該分流管線的一第 一連接部份以及在該捕集器之一下游側上連接該分流 管線的一第二連接部份,該密封構件包含設置在該分 流管線上位於該第一連接部份及該捕集器之間的一第 一開啓/豳閉閥以及設置在該分流管線上位於該第二 連接部份及該捕集器之間的一第二開啓/關閉閥,該 氮氣偽由該氮氣源藉由當該氣體供應閥開啓時,開啓 該第一閥且關閉該第二閥來供應,並且該捕集器與該 I 分流管線分離時,該等第一__二開啓/關閉閥被關 閉。 12. 如申請專利範圍第11項之裝置,其中該連接構件包含 設置在該分流管緯上且位於該第一連接部份及該第一 開啓/關閉閥之間的一第一連接器以及設置在該分流 管線上且位於該第二連接部份及該第二開啓/關閉閥 之間的一第二連接器。 13. —種形成一薄膜的方法,包含之步驟為: 藉由將薄膜形成氣體供應到一室中對一加工基材 經濟部中央標隼局員工消費合作社印製 — I;---11#丨裝------訂 (請先聞讀背面之注意事項再填寫本頁) 進行薄膜形成加工; 在該薄膜形成加工之後,藉由將一清潔氣體供應 到該室中來進行清潔加工; 在該薄膜形成'步驟時+,藉由一真空泵經·由一主真 空管線排空該室之内部; 在該清潔步驟時,辑由分流該真空泵且具有一捕 -23 - 本紙張X度適用中國國家標準(CNS > Λ4規格(210X297公釐) ABCD S87019 申請專利範園 集器之一分流管線排空該室之内部;以及 在該清潔步驟時加熱該,分流^線的氣體。 14 .如申請專利範圍第13項之包 m 驟為: 在該清潔_驟之後,將氮氣瑱充到該捕集器中; 以及 使瑱充有該氮氣之捕集器與該分流管線分離並且 清潔該捕集器。 ^ -------ο—裝— ,(請先閱讀背面之注意事項再填寫本頁) 、βτ 經濟部中央標準局員工消費合作社印製. 24 本紙張尺度適用中國國家標準(CNS )八4規格(210Χ 297公釐)
TW087107812A 1997-05-22 1998-05-20 Film forming apparatus and method TW387019B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP14704997A JP3626833B2 (ja) 1997-05-22 1997-05-22 成膜装置および成膜方法
JP16487997A JP3563565B2 (ja) 1997-06-09 1997-06-09 排気装置および排気方法

Publications (1)

Publication Number Publication Date
TW387019B true TW387019B (en) 2000-04-11

Family

ID=26477715

Family Applications (1)

Application Number Title Priority Date Filing Date
TW087107812A TW387019B (en) 1997-05-22 1998-05-20 Film forming apparatus and method

Country Status (3)

Country Link
US (1) US6149729A (zh)
KR (1) KR100365842B1 (zh)
TW (1) TW387019B (zh)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6413436B1 (en) * 1999-01-27 2002-07-02 Semitool, Inc. Selective treatment of the surface of a microelectronic workpiece
US6423642B1 (en) * 1998-03-13 2002-07-23 Semitool, Inc. Reactor for processing a semiconductor wafer
US20050217707A1 (en) * 1998-03-13 2005-10-06 Aegerter Brian K Selective processing of microelectronic workpiece surfaces
US6806194B2 (en) * 1999-01-22 2004-10-19 Semitool. Inc. Apparatus and methods for processing a workpiece
US6548411B2 (en) * 1999-01-22 2003-04-15 Semitool, Inc. Apparatus and methods for processing a workpiece
JP4092821B2 (ja) * 1999-07-27 2008-05-28 東京エレクトロン株式会社 処理装置の排気システム
US6773687B1 (en) * 1999-11-24 2004-08-10 Tokyo Electron Limited Exhaust apparatus for process apparatus and method of removing impurity gas
JP4009100B2 (ja) * 2000-12-28 2007-11-14 東京エレクトロン株式会社 基板加熱装置および基板加熱方法
US7563328B2 (en) * 2001-01-19 2009-07-21 Tokyo Electron Limited Method and apparatus for gas injection system with minimum particulate contamination
US20050061676A1 (en) * 2001-03-12 2005-03-24 Wilson Gregory J. System for electrochemically processing a workpiece
GB0212757D0 (en) * 2002-05-31 2002-07-10 Boc Group Plc A vacuum pumping system and method of controlling the same
US7500445B2 (en) * 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
JP5133013B2 (ja) * 2007-09-10 2013-01-30 東京エレクトロン株式会社 成膜装置の排気系構造、成膜装置、および排ガスの処理方法
KR101132605B1 (ko) * 2009-03-13 2012-04-06 도쿄엘렉트론가부시키가이샤 기판 처리 장치, 트랩 장치, 기판 처리 장치의 제어 방법 및 트랩 장치의 제어 방법
JP5501807B2 (ja) 2009-03-31 2014-05-28 東京エレクトロン株式会社 処理装置
JP6007715B2 (ja) * 2012-03-29 2016-10-12 東京エレクトロン株式会社 トラップ機構、排気系及び成膜装置
US9982340B2 (en) 2012-04-04 2018-05-29 Taiwan Semiconductor Manufacturing Co. Ltd. Shower head apparatus and method for controlling plasma or gas distribution
JP6749287B2 (ja) * 2017-06-26 2020-09-02 株式会社東芝 処理システム

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4906257A (en) * 1987-09-25 1990-03-06 Takeda Chemical Industries, Ltd. Method of and apparatus for treating waste gas from semiconductor manufacturing process
JPH08176829A (ja) * 1994-12-26 1996-07-09 Nec Kansai Ltd 薄膜成長方法及び減圧cvd装置

Also Published As

Publication number Publication date
KR19980087255A (ko) 1998-12-05
US6149729A (en) 2000-11-21
KR100365842B1 (ko) 2003-02-19

Similar Documents

Publication Publication Date Title
TW387019B (en) Film forming apparatus and method
US11986868B2 (en) System dedicated for parts cleaning
JP3501524B2 (ja) 処理装置の真空排気システム
JP3727850B2 (ja) 先駆物質液を用いて金属層を化学蒸着する処理装置
KR100257305B1 (ko) 열처리장치 및 그의 크리닝 방법
US20020020429A1 (en) Systems and methods for remote plasma clean
KR19980064042A (ko) 씨브이디성막방법
TW200936886A (en) Multi-port pumping system for substrate processing chambers
US6592709B1 (en) Method and apparatus for plasma processing
JP4114972B2 (ja) 基板処理装置
JPH0261068A (ja) 縦型熱処理装置
JP3563565B2 (ja) 排気装置および排気方法
JP3738494B2 (ja) 枚葉式の熱処理装置
US6606802B2 (en) Cleaning efficiency improvement in a high density plasma process chamber using thermally hot gas
JP3098093B2 (ja) 化学気相成長装置
JP3626833B2 (ja) 成膜装置および成膜方法
US12027354B2 (en) Cleaning of SIN with CCP plasma or RPS clean
JP3699504B2 (ja) 真空処理装置
JP2752824B2 (ja) 縦型減圧気相成長装置
KR20010039975A (ko) 박막형성장치의 세정방법
KR100480342B1 (ko) 플라즈마발생소스,진공펌프장치및/또는캔티레버화된기판지지부와같은장비모듈을구비하는고유동진공챔버
JP4677087B2 (ja) グラファイトナノファイバー薄膜形成用熱cvd装置
JP3595508B2 (ja) 半導体製造装置
JP2008115445A (ja) ターゲットホルダ及び成膜装置並びに成膜方法
JPH08260152A (ja) プラズマcvd法および装置

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees