KR20230040902A - 에어 갭 형성 방법 및 선택적 증착 방법 - Google Patents

에어 갭 형성 방법 및 선택적 증착 방법 Download PDF

Info

Publication number
KR20230040902A
KR20230040902A KR1020220114389A KR20220114389A KR20230040902A KR 20230040902 A KR20230040902 A KR 20230040902A KR 1020220114389 A KR1020220114389 A KR 1020220114389A KR 20220114389 A KR20220114389 A KR 20220114389A KR 20230040902 A KR20230040902 A KR 20230040902A
Authority
KR
South Korea
Prior art keywords
deposition
silane
sih
cycle
plasma
Prior art date
Application number
KR1020220114389A
Other languages
English (en)
Inventor
천승주
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20230040902A publication Critical patent/KR20230040902A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/48Ion implantation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/047Coating on selected surface areas, e.g. using masks using irradiation by energy or particles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor
    • H10B12/053Making the transistor the transistor being at least partially in a trench in the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Manufacturing & Machinery (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

상부 표면, 하부 표면, 및 상기 상부 표면 및 하부 표면을 연결하는 측벽을 갖는 갭 구조에 에어 갭을 형성하는 에어 갭 형성 방법은, 선택적 증착 사이클을 반복적으로 수행하는 단계를 포함하며, 상기 선택적 증착 사이클은 상기 갭 구조를 포함하는 기판 상으로 증착 억제제를 공급하는 단계; 및 상기 측벽에 비해 상기 상부 표면 상에 재료 층을 선택적으로 형성하는 단계를 포함한다.

Description

에어 갭 형성 방법 및 선택적 증착 방법{AIR GAP FORMING METHOD AND SELECTIVE DEPOSITION METHOD}
본 발명은 에어갭 형성 방법 및 선택적 증착 방법에 관한 것으로서, 더욱 구체적으로는 갭 구조물 상에 용이하게 적용 가능하고 에어갭의 형상 및 크기를 제어할 수 있는 에어갭 형성 방법 및 선택적 증착 방법에 관한 것이다.
디램(DRAM) 반도체 소자의 제조에 있어서, 공정 미세화로 인해 셀 간격이 줄어들면서 셀 사이에 발생하는 기생 커패시턴스에 의한 소자 성능 저하, 예컨대 RC 지연이나 반응 속도의 저하의 문제가 있다. 이러한 문제의 해법으로서 낮은 유전 상수를 갖는 절연체의 도입이 논의되고 있으며 에어갭도 응용 가능하다. 에어갭을 보다 적절한 크기와 형태로 제조할 수 있는 방법에 대한 요구가 있다.
본 발명이 이루고자 하는 첫 번째 기술적 과제는 갭 구조물 상에 용이하게 적용 가능하고 에어갭의 형상 및 크기를 제어할 수 있는 에어갭 형성 방법을 제공하는 것이다.
본 발명이 이루고자 하는 두 번째 기술적 과제는 선택적 증착 방법을 제공하는 것이다.
본 발명은 상기 첫 번째 기술적 과제를 이루기 위하여, 상부 표면, 하부 표면, 및 상기 상부 표면과 상기 하부 표면을 연결하는 측벽을 갖는 갭 구조물 내에 에어갭을 형성하기 위한 방법으로서, 선택적 증착 사이클을 반복 수행하는 단계를 포함하고, 상기 선택적 증착 사이클은: 상기 갭 구조물을 포함하는 기판 상에 증착 억제제(inhibitor)를 공급하는 단계; 및 상기 측벽에 비하여 상기 상부 표면 상에 선택적으로 물질막을 형성하는 단계를 포함하는 에어갭 형성 방법을 제공한다.
일부 실시예들에 있어서, 상기 증착 억제제를 공급하는 단계는 제 1 서브-사이클을 1회 또는 복수회 수행하는 단계를 포함하고, 상기 제 1 서브-사이클은: 이온화된 증착 억제제를 상기 기판 상에 공급하는 단계; 및 퍼지 가스를 공급하는 단계를 포함할 수 있다. 일부 실시예들에 있어서, 상기 선택적으로 물질막을 형성하는 단계는 제 2 서브-사이클을 1회 또는 복수회 수행하는 단계를 포함하고, 상기 제 2 서브-사이클은: 소스 물질을 공급하는 단계; 과량의 소스 물질을 퍼지하는 단계; 이온화된 제 1 반응 물질을 공급하는 단계; 및 과량의 제 1 반응 물질을 퍼지하는 단계를 포함할 수 있다.
일부 실시예들에 있어서, 상기 에어갭 형성 방법은 상기 증착 억제제를 공급하는 단계와 상기 선택적으로 물질막을 형성하는 단계의 사이에 이온 포격 서브-사이클을 1회 또는 복수회 수행하는 단계를 더 포함할 수 있다. 이 때 상기 이온 포격 서브-사이클은: 제 2 반응 물질을 상기 기판 상에 공급하는 단계; 상기 제 2 반응 물질을 이온화하여 상기 기판상에 실질적으로 수직 충돌하도록 반응 공간에 플라즈마를 인가하는 단계; 및 과량의 제 2 반응 물질을 퍼지하는 단계를 포함할 수 있다.
일부 실시예들에 있어서, 상기 제 1 서브-사이클의 수행 횟수와 상기 제 2 서브-사이클의 수행 횟수의 비는 1:1 내지 1:40일 수 있다. 일부 실시예들에 있어서, 상기 제 1 서브-사이클의 수행 횟수와 상기 제 2 서브-사이클의 수행 횟수의 비는 1:20 내지 1:30일 수 있다.
일부 실시예들에 있어서, 상기 증착 억제제는 할로겐 함유(halogen-containing) 화합물일 수 있다. 일부 실시예들에 있어서, 상기 할로겐 함유화합물은 F2, SF6, CF4, C2F6, CHF3, CH2F2, ClF3, NF3, C3F8, C4F8, HF, SiF4, Cl2, HCl, BCl3, CCl4, SiCl4, SiHCl3, SiH2Cl2, Si2Cl6, CHCl3, CH2Cl2, CH3Cl, PCl3, PCl5, POCl3, NCl3, S2Cl2, SOCl2, SO2Cl2, COCl2, 및 HBr로 구성되는 군으로부터 선택된 1종 이상일 수 있다.
일부 실시예들에 있어서, 상기 증착 억제제를 공급하는 단계에 의하여 상기 갭 구조물의 상기 상부 표면, 하부 표면, 및 측벽 상에 할로겐 원소의 층이 균일하게 형성될 수 있다. 일부 실시예들에 있어서, 상기 선택적으로 물질막을 형성하는 단계를 수행하는 동안 상기 제 2 서브-사이클이 반복됨에 따라 상기 측벽 상의 할로겐 원소의 층보다 상기 상부 표면 상의 할로겐 원소의 층이 더 빨리 제거될 수 있다.
본 발명은 상기 첫 번째 기술적 과제를 이루기 위하여, 상부 표면, 하부 표면, 및 상기 상부 표면과 상기 하부 표면을 연결하는 측벽을 갖는 갭 구조물 상에 물질막을 선택적으로 증착시키기 위한 선택적 증착 방법으로서, 상기 선택적 증착 방법은 반응 챔버 내에서 선택적 증착 사이클을 반복 수행하는 단계를 포함할 수 있다. 상기 선택적 증착 사이클은: 상기 상부 표면, 상기 하부 표면, 및 상기 측벽 상에 증착 억제층을 형성하는 단계; 상기 측벽에 비하여 상기 상부 표면으로부터 증착 억제층층을 선택적으로 제거하는 단계; 및 상기 측벽 상에 상기 증착 억제층이 잔존하는 동안 상기 상부 표면 상에 상기 물질막을 선택적으로 증착시키는 단계를 포함할 수 있다.
일부 실시예들에 있어서, 상기 상부 표면으로부터 증착 억제층을 선택적으로 제거하는 단계와 상기 상부 표면 상에 상기 물질막을 선택적으로 증착시키는 단계는 동시에 수행될 수 있다.
일부 실시예들에 있어서, 상기 증착 억제층을 균일하게 형성하는 단계는: 증착 억제제를 이온화시키는 단계; 및 상기 기판 상에 이온화된 상기 증착 억제제를 공급하는 단계를 포함할 수 있다. 일부 실시예들에 있어서, 상기 상부 표면 상에 상기 물질막을 선택적으로 증착시키는 단계는: 상기 기판 상에 소스 물질을 공급하는 단계; 및 상기 소스 물질을 공급하는 단계 이후에, 이온화된 제 1 반응 물질을 상기 기판 상에 공급하는 단계를 포함할 수 있다.
일부 실시예들에 있어서, 상기 상부 표면으로부터 증착 억제층을 선택적으로 제거하는 단계는 상기 상부 표면 상에 상기 물질막을 선택적으로 증착시키는 단계의 이전에 수행되고, 상기 상부 표면으로부터 증착 억제층을 선택적으로 제거하는 단계는: 제 2 반응 물질을 상기 기판 상에 공급하는 단계; 상기 제 2 반응 물질을 이온화하여 상기 기판 상에 실질적으로 수직 충돌하도록 반응 공간에 RF 전력을 인가하는 단계; 및 과량의 제 2 반응 물질을 퍼지하는 단계를 포함하는 이온 포격 사이클을 1회 또는 복수회 반복하는 단계를 포함할 수 있다.
일부 실시예들에 있어서, 상기 제 1 반응 물질 및 상기 제 2 반응 물질은 각각 독립적으로 O2, O3, 플라스마 O2, 플라스마 O3, 수증기, 플라스마 H2O, NO, 플라스마 NO, N2O, 플라스마 N2O, NO2, 플라스마 NO2, 과산화수소, CO, 플라스마 CO, CO2, 플라스마 CO2, 질소(N2), 암모니아(NH3), 히드라진(N2H4), 디아젠(N2H2), 플라스마 N2, 플라스마 NH3, 플라스마 H2, 및 NF3로 구성되는 군으로부터 선택된 1종 이상을 포함할 수 있다.
일부 실시예들에 있어서, 상기 물질막은 실리콘 산화물 또는 실리콘 질화물이고, 상기 소스 물질은 실란(SiH4), 디실란(Si2H6), 트리실란(Si3H8), 모노클로로실란(SiClH3), 디클로로실란(SiCl2H2, DCS), 트리클로로실란(SiCl3H), 테트라클로로실란(SiCl4), 헥사클로로디실란(hexachlorodisilane, HCD), 디아이오도실란(SiH2I2, DIS), 트리아이오도실란(SiI3H, TIS), 헥사클로로디실란(Si2Cl6), 디에틸실란(Et2SiH2), 테트라에틸오쏘실리케이트(Si(OCH2CH3)4, TEOS), 디이소프로필아미노실란(H3Si(N(i-Pr)2)), 비스(터셔리-부틸아미노)실란((C4H9(H)N)2SiH2), 테트라키스(에틸아미노)실란(Si(NHEt)4), 테트라키스(디메틸아미노)실란(Si(NMe2)4), 테트라키스(에틸메틸아미노)실란(Si(NEtMe)4), 테트라키스(디에틸아미노)실란(Si(NEt2)4), 트리스(디메틸아미노)실란(HSi(NMe2)3), 트리스(에틸메틸아미노)실란(HSi(NEtMe)3), 트리스(디에틸아미노)실란(HSi(NEt2)3), 트리스(디메틸하이드라지노)실란(HSi(N(H)NMe2)3), 비스(디에틸아미노)실란(H2Si(NEt2)2), 비스(디이소프로필아미노)실란(H2Si(N(i-Pr)2)2), 트리스(이소프로필아미노)실란(HSi(N(i-Pr)2)3), 또는 (디이소프로필아미노)실란(H3Si(N(i-Pr)2), 트리실릴아민((SiH3)3N, TSA), 디실록산(disiloxane, DSO), 디실릴메틸아민((SiH3)2NMe, DSMA), 디실릴에틸아민((SiH3)2NEt, DSEA), 디실릴이소프로필아민((SiH3)2N(i-Pr), DSIPA), 디실릴-tert-부틸아민((SiH3)2N(tBu), DSTBA), 디에틸실릴아민(SiH3NEt2, DESA), 디-tert-부틸실릴아민(SiH3N(tBu)2, DTBSA), 비스(디에틸아미노)실란(SiH2(NEt2)2, BDEAS), 비스(디메틸아미노)실란(SiH2(NMe2)2, BDMAS), 비스(에틸메틸아미노)실란(SiH2[N(Et)(Me)]2, BEMAS), 비스(tert-부틸아미노)실란(SiH2(NHtBu)2, BTBAS), 디이소프로필실릴아민(SiH3N(i-Pr)2, DIPSA), 헥사키스(에틸아미노)디실란(Si2(NHEt)6), 및 비스(트리메틸실릴아미노)실란(SiH2(NHSiMe3)2, BITS)로 구성되는 군으로부터 선택된 1종 이상일 수 있다.
일부 실시예들에 있어서, 상기 증착 억제층을 균일하게 형성하는 단계 동안에 상기 반응 챔버에 고주파 RF 파워 및 저주파 RF 파워가 동시에 인가될 수 있다. 일부 실시예들에 있어서, 상기 측벽에 비하여 상기 상부 표면으로부터 증착 억제층을 선택적으로 제거하는 단계 동안에 상기 반응 챔버에 고주파 RF 파워 및 저주파 RF 파워가 동시에 인가될 수 있다. 일부 실시예들에 있어서, 상기 에어갭의 형상 및 부피는 갭의 측벽들, 바닥면, 및 상부 영역으로 둘러싸인 갭 공간의 형상 및 부피와 각각 거의 동일할 수 있다.
본 개시의 실시예들의 위의 및 다른 양태들, 피쳐들 및 이점들은 첨부하는 도면들과 함께 취해진 이하의 설명으로부터 보다 명백할 것이다.
도 1은 본 발명의 일 실시예에 따른 물질막 형성 방법을 개략적으로 나타낸 흐름도이다.
도 2a 내지 도 2c는 본 발명의 일 실시예에 따른 물질막 형성 방법을 개략적으로 나타낸 측단면도들이다.
도 3a는 상기 상부 표면, 하부 표면 및 측벽 상에 증착 억제층을 형성하는 방법을 상세하게 나타낸 흐름도이다.
도 3b는 상기 측벽에 비하여 상기 상부 표면 상에 물질막을 선택적으로 형성하는 방법을 상세하게 나타낸 흐름도이다.
도 4는 도 3a 및 도 3b의 방법들을 나타낸 타이밍 다이어그램이다.
도 5는 본 발명의 일 실시예에 따른 물질막 형성 방법을 개략적으로 나타낸 모식도이다.
도 6은 증착 억제층이 없는 경우와 증착 억제층이 존재하는 경우에 있어서 물질막의 성막 속도를 개략적으로 비교한 그래프이다.
도 7a 내지 도 7d는 도 6의 각 단계에 따른 갭 구조물 상에 형성된 SiO2 막의 프로파일을 나타낸 이미지들이다.
도 8a 및 도 8b는 도 6의 구간 B에서 증착 사이클 횟수에 따른 SiO2 물질막의 형성을 나타낸 이미지들이다.
도 9는 본 발명의 다른 실시예에 따른 물질막 형성 방법을 개략적으로 나타낸 흐름도이다.
도 10은 도 9의 상부 표면으로부터 증착 억제층을 선택적으로 제거하는 방법을 상세하게 나타낸 흐름도이다.
도 11은 도 10의 방법을 나타낸 타이밍 다이어그램이다.
도 12는 본 발명의 기술적 사상에 의한 실시예들에 따른 반도체 장치 제조 방법이 적용될 수 있는 기판 처리 장치를 개략적으로 도시한다.
이하, 첨부된 도면을 참조하여 본 발명의 실시예들을 설명하기로 한다.
본 발명의 실시예들은 당해 기술 분야에서 통상의 지식을 가진 자에게 본 발명을 더욱 완전하게 설명하기 위하여 제공되는 것이며, 아래의 실시예들은 여러 가지 다른 형태로 변형될 수 있으며, 본 발명의 범위가 아래의 실시예들로 한정되는 것은 아니다. 오히려, 이들 실시예는 본 개시를 더욱 충실하고 완전하게 하며 당업자에게 본 발명의 사상을 완전하게 전달하기 위하여 제공되는 것이다.
본 명세서에서 사용된 용어는 특정 실시예를 설명하기 위하여 사용되며, 본 발명을 제한하기 위한 것이 아니다. 본 명세서에서 사용된 바와 같이 단수 형태는 문맥상 다른 경우를 분명히 지적하는 것이 아니라면, 복수의 형태를 포함할 수 있다. 또한, 본 명세서에서 사용되는 경우 "포함한다(comprise)" 및/또는 "포함하는(comprising)"은 언급한 형상들, 숫자, 단계, 동작, 부재, 요소 및/또는 이들 그룹의 존재를 특정하는 것이며, 하나 이상의 다른 형상, 숫자, 동작, 부재, 요소 및/또는 그룹들의 존재 또는 부가를 배제하는 것이 아니다. 본 명세서에서 사용된 바와 같이, 용어 “및/또는"은 해당 열거된 항목 중 어느 하나 및 하나 이상의 모든 조합을 포함한다.
본 명세서에서 제1, 제2 등의 용어가 다양한 부재, 영역 및/또는 부위들을 설명하기 위하여 사용되지만, 이들 부재, 부품, 영역, 층들 및/또는 부위들은 이들 용어에 의해 한정되어서는 안됨은 자명하다. 이들 용어는 특정 순서나 상하, 또는 우열의 의미하지 않으며, 하나의 부재, 영역 또는 부위를 다른 부재, 영역 또는 부위와 구별하기 위하여만 사용된다. 따라서, 이하 상술할 제1 부재, 영역 또는 부위는 본 발명의 가르침으로부터 벗어나지 않고서도 제2 부재, 영역 또는 부위를 지칭할 수 있다.
본 명세서에서, "기체(가스)"는 증발된 고체 및/또는 액체를 포함할 수 있으며, 단일 기체 또는 기체들의 혼합물로 구성될 수 있다. 본 명세서에서, 샤워헤드를 통하여 반응 챔버로 도입된 공정 기체는 전구체 기체 및 첨가제 기체를 포함할 수 있다. 상기 전구체 기체 및 상기 첨가제 기체는 전형적으로 혼합 기체로서 또는 별도로 반응 공간으로 도입될 수 있다. 상기 전구체 기체는 불활성 기체와 같은 캐리어 기체와 함께 도입될 수 있다. 상기 첨가제 기체는 반응물 기체 및 불활성 기체와 같은 희석 기체를 포함할 수 있다. 상기 반응물 기체 및 상기 희석 기체는 혼합하여 또는 별도로 반응 공간으로 도입될 수 있다. 전구체는 둘 이상의 전구체들로 구성될 수 있으며, 그리고 반응물 기체는 둘 이상의 반응물 기체들로 구성될 수 있다. 상기 전구체는 기판 상에 화학흡착된 그리고 유전체 막의 매트릭스의 주요 구조를 구성하는 전형적으로 메탈로이드(metalloid) 또는 금속 원소를 함유하는 기체며, 증착을 위한 상기 반응물 기체는 상기 기체가 상기 기판 상에서 원자층 또는 단층(monolayer)을 고정하기 위해 여기될 때 기판 상에 화학흡착된 상기 전구체와 반응하는 기체이다. "화학흡착(chemisorption)"은 화학적 포화 흡착을 지칭한다. 상기 공정 기체 외의 기체, 즉 상기 샤워헤드를 통하여 통과하지 않고 도입된 기체가 상기 반응 공간을 실링(sealing)하기 위해 사용될 수 있으며, 이것은 불활성 기체와 같은 시일 기체(seal gas)를 포함한다. 일부 실시예들에서, "막(film)"은 전체 타겟 또는 관련된 표면을 피복하도록 실질적으로 핀홀들 없이 두께 방향에 수직한 방향으로 연속적으로 연장되는 층, 또는 단순히 타겟 또는 관련된 표면을 피복하는 층을 지칭한다. 일부 실시예들에서 "층(layer)"은 표면 상에 형성된 어떠한 두께를 갖는 구조물, 또는 막의 동의어, 또는 비막(non-film) 구조물을 지칭한다. 막 또는 층은 어떠한 특성들을 갖는 불연속적 단일 막 또는 층, 또는 다중의 막들 또는 층들로 구성될 수 있으며, 그리고 인접한 막들 또는 층들 사이의 경계는 분명하거나 또는 분명하지 않을 수 있으며, 그리고 물리적, 화학적, 및/또는 어떤 다른 특성들, 형성 공정들 또는 시퀀스, 및/또는 인접한 막들 또는 층들의 기능들 또는 목적들에 기초하여 설정될 수 있다.
본 명세서에서, "Si-N 결합을 함유하는"이라는 표현은 Si-N 결합 또는 Si-N 결합들에 의해 실질적으로 구성된 주요 골격을 갖는, 및/또는 Si-N 결합 또는 Si-N 결합들에 의해 실질적으로 구성된 치환기를 갖는, Si-N 결합 또는 Si-N 결합들에 의해 특징되는 것으로 지칭할 수 있다. 실리콘 질화층은 Si-N 결합을 함유하는 유전체 층일 수 있으며, 실리콘 질화층(SiN) 및 실리콘 산질화층(SiON)을 포함할 수 있다.
본 명세서에서, "동일한 물질"이라는 표현은, 주요 구성 성분이 동일함을 의미하는 것으로 해석되어야 한다. 예를 들어, 제1 층과 제2 층은 모두 실리콘 질화층이고 동일한 물질로 형성될 경우, 제1 층은 Si2N, SiN, Si3N4, 및 Si2N3을 포함하는 그룹으로부터 선택될 수 있고, 제2 층 역시 상기 그룹으로부터 선택될 수 있으나 그 구체적인 막질은 제1 층과 상이할 수 있다.
또한, 본 명세서에서, 실행 가능한 범위가 정례적인 작업에 기초하여 결정될 수 있다는 것에 따라서 어떠한 두 가지의 변수가 상기 변수의 실행가능한 범위를 구성할 수 있으며, 어떠한 지시된 범위는 종료점들을 포함하거나 배제할 수 있다. 부가적으로, 어떠한 지시된 변수들의 값들은(그것들이 "약(about)"으로 지시되었거나 아니거나 상관없이) 정확한 값들 또는 근사값들을 지칭할 수 있으며, 등가물을 포함할 수 있으며, 그리고 일부 실시예들에서 평균값, 중앙값, 대표값, 다수값 등을 지칭할 수 있다.
조건들 및/또는 구조들이 특정되지 않은 본 명세서에서, 통상의 기술자는 관례적인 실험의 문제로서, 본 명세서의 견지에서 이러한 조건들 및/또는 구조들을 용이하게 제공할 수 있다. 모든 개시된 실시예들에서, 하나의 실시예에서 사용된 어떠한 구성 요소는 의도된 목적들을 위해, 여기에 명시적으로, 필연적으로 또는 본질적으로 개시된 것들을 포함하여, 그것에 등가적인 어떠한 구성 요소들로 대체될 수 있다, 나아가, 본 발명은 장치들 및 방법들에 동일하게 적용될 수 있다.
이하, 본 발명의 기술적 사상에 따른 실시예들을 개략적으로 도시하는 도면들을 참조하여 설명한다. 도면들에 있어서, 예를 들면, 제조 기술 및/또는 공차에 따라, 도시된 형상의 변형들이 예상될 수 있다. 따라서, 본 발명의 실시예는 본 명세서에 도시된 영역의 특정 형상에 제한된 것으로 해석되어서는 아니 되며, 예를 들면 제조상 초래되는 형상의 변화를 포함하여야 한다.
도 1은 본 발명의 일 실시예에 따른 물질막 형성 방법을 개략적으로 나타낸 흐름도이다. 도 2a 내지 도 2c는 본 발명의 일 실시예에 따른 물질막 형성 방법을 개략적으로 나타낸 측단면도들이다.
도 1 및 도 2a를 참조하면, 갭 구조물(110)을 포함하는 기판을 반응 공간에 제공한다(S10).
상기 기판의 갭 구조물(110)은 상부 표면(113), 하부 표면(111) 및 상기 상부 표면(113)과 상기 하부 표면(111)을 연결하는 측벽(112)을 포함한다. 상기 갭 구조물(110)의 상부 표면(113)과 측벽(112)을 이루는 지형은 상기 하부 표면(111)을 갖는 기저 기판(101) 상에 형성된 별도의 구조물일 수도 있고, 기저 기판(101)과 일체로 형성된 것일 수도 있다.
상기 측벽(112)은 상기 하부 표면(111)에 대하여 대체로 수직으로 연장될 수도 있고, 비스듬하게 연장될 수도 있다.
도 1 및 도 2b를 참조하면, 기판 상에 증착 억제제를 공급하고 상기 상부 표면(113), 하부 표면(111) 및 측벽(112) 상에 증착 억제층(120)을 형성할 수 있다(S20). 일부 실시예들에 있어서, 상기 증착 억제층(120)은 실질적으로 균일한 두께로 형성될 수 있다.
상기 증착 억제층(120)은 이온 포격(ion bombardment)에 의하여 제거 가능한 물질층으로서 그 위에 원자층 증착(atomic layer deposition, ALD) 방식으로 형성될 수 있는 다른 물질층이 증착되는 것을 저해할 수 있다. 또한 증착 사이클이 반복되면서 상기 증착 억제층(120)은 점진적으로 제거될 수 있다. 그러므로, 증착 사이클이 반복됨에 따라 소정 횟수의 증착 사이클이 반복될 때까지는 상기 증착을 저해하지만 그 이후로는 증착이 허용될 수 있다.
또한, 상기 ALD 방식의 증착이 플라스마 강화 ALD 방식으로 이루어질 때 이온들이 수직 방향으로 움직이기 때문에 갭 구조물의 수평면, 즉 이온의 진행방향과 수직 방향의 표면상의 증착 억제층은 수직 표면, 즉 이온의 진행방향과 수평 방향의 표면 또는 비스듬한 표면상의 증착 억제층에 비하여 더 빨리 제거됨으로써 증착 속도를 높일 수 있다. 상기 증착 억제층(120)은 뒤에서 상세하게 설명하는 제 1 서브-사이클을 1회 또는 복수회 수행함으로써 형성될 수 있다.
도 1 및 도 2c를 참조하면, 상기 측벽(112)에 비하여 상기 상부 표면(113) 상에 물질막(130)을 선택적으로 형성한다(S30).
상기 물질막(130)은 플라스마 강화 ALD 방식(Plasma Enhanced ALD; PEALD)으로 형성될 수 있다. 상기 측벽(112) 상에는 제거되지 않은 증착 억제층(120)이 잔존할 수 있다. 상기 측벽(112) 상에 잔존하는 증착 억제층(120)으로 인해 상기 상부 표면(113) 상에 물질막(130)이 선택적으로 형성될 수 있다.
또한 상기 상부 표면(113) 상에 형성되는 물질막(130)은 서로 연결되어 마주보는 두 측벽들(112) 사이에는 에어갭(Air Gap; AG)이 형성될 수 있다. 일부 실시예들에 있어서, 상기 하부 표면(111) 상에도 상기 물질막(130)이 부분적으로 형성될 수 있다.
도 2c에서는 측벽(112) 상에 물질막(130)이 전혀 형성되지 않은 예를 도시하였지만, 일부 다른 실시예에서는 상기 측벽(112) 상에 물질막이 상부 표면(113) 상의 물질막에 비하여 상대적으로 얇게 형성되거나, 불연속적으로 형성되거나, 국지적으로(locally) 형성될 수도 있다.
상기 물질막(130)은 뒤에서 상세하게 설명하는 제 2 서브-사이클을 복수회 수행함으로써 형성될 수 있다.
이후 물질막(130)의 형성이 충분한지 여부를 판단한다(S40). 상기 물질막(130)의 형성이 충분하다면 물질막 형성 과정을 중단한다. 만일 상기 물질막(130)의 형성이 미흡하다면 증착 억제층을 형성하는 단계(S20) 및 상부 표면 상에 물질막을 선택적으로 형성하는 단계(S30)를 1회 이상 더 수행할 수 있다.
위 증착 억제층을 형성하는 단계(S20) 및 상부 표면 상에 물질막을 선택적으로 형성하는 단계(S30)는 상기 물질막을 형성하기 위한 대-사이클을 이룰 수 있다. 즉 상기 대-사이클은 1회 수행될 수도 있고, 복수회 수행될 수도 있다.
도 2c에서는 이웃하는 두 상부 표면들(113) 상에 형성되는 물질막(130)이 서로 연결되어 에어갭(AG)이 형성되도록 상기 물질막(130)이 증착되는 것을 도시하였지만, 상기 물질막(130)이 반드시 서로 연결될 필요는 없다. 따라서 상기 물질막은 상기 상부 표면들(113) 상에 독립적으로 그리고 선택적으로 형성될 수도 있다.
이하에서는 상기 물질막 형성 방법을 더욱 상세하게 설명한다.
도 3a는 상기 상부 표면(113), 하부 표면(111) 및 측벽(112) 상에 증착 억제층(120)을 형성하는 방법을 상세하게 나타낸 흐름도이다. 도 3b는 상기 측벽(112)에 비하여 상기 상부 표면(113) 상에 물질막(130)을 선택적으로 형성하는 방법을 상세하게 나타낸 흐름도이다. 도 4는 도 3a 및 도 3b의 방법들을 나타낸 타이밍 다이어그램이다.
제 1 시기(t1)
도 2b, 도 3a 및 도 4를 참조하면, 상부 표면(113), 하부 표면(111) 및 측벽(112)을 포함하는 기판 상에 증착 억제층(120)을 형성하기 위하여, 우선 상기 기판 상에 제 1 시기(t1) 동안 증착 억제제를 공급한다(S21).
상기 증착 억제제는, 예를 들면, 함할로겐 화합물로서, 예컨대 불소(F), 염소(Cl), 브롬(Br), 요오드(I) 등을 함유하는 화합물일 수 있다.
구체적으로, 상기 증착 억제제는 F2, SF6, CF4, C2F6, CHF3, CH2F2, ClF3, NF3, C3F8, C4F8, HF, SiF4, Cl2, HCl, BCl3, CCl4, SiCl4, SiHCl3, SiH2Cl2, Si2Cl6, CHCl3, CH2Cl2, CH3Cl, PCl3, PCl5, POCl3, NCl3, S2Cl2, SOCl2, SO2Cl2, COCl2, 및 HBr로 구성되는 군으로부터 선택된 1종 이상일 수 있다.
상기 증착 억제제를 공급하는 유량은 약 5 sccm 내지 약 300 sccm일 수 있다. 상기 증착 억제제를 공급하는 유량이 너무 적으면 증착 억제층을 형성하는 데 너무 긴 시간이 소요될 수 있다. 상기 증착 억제제를 공급하는 유량이 너무 크면 증착 억제층 성장의 속도가 포화되고 증착 억제제가 불필요하게 소비되어 경제적으로 불리할 수 있다. 또한 증착 억제층이 과도하게 형성되어 후속 단계인 증착 단계에서 물질막의 증착이 어려울 수 있다.
상기 증착 억제제는 캐리어 가스에 의하여 운반될 수 있다. 상기 캐리어 가스는 불활성 가스로서, 아르곤(Ar), 헬륨(He), 질소(N2), 네온(Ne) 등이 이용될 수 있으나 이들에 한정되는 것은 아니다. 상기 캐리어 가스의 유량은 증착 억제층의 증착 속도, 운반하고자 하는 증착 억제제의 증기압, 온도 등의 인자를 고려하여 적절히 선택될 수 있으며, 예를 들면 약 1000 sccm 내지 약 3000 sccm의 Ar 혹은 질소 기체(N2)가 캐리어 가스로서 공급될 수 있다. 상기 캐리어 가스는 기판 처리 공정 동안 소스 물질, 반응 물질 및 증착 억제제를 이송하는 역할을 하기도 하지만 반응기내의 잔류 기체를 제거하는 퍼지 기체로서의 역할도 수행할 수 있다.
또, 반응 공간에서 기판을 지지하는 서셉터의 하부로 소스 물질, 증착 억제제, 반응 물질 등의 공정 가스가 침투하는 것을 막기 위해 상기 서셉터의 하부에 충전 가스(filling gas)가 더 제공될 수 있다. 상기 충전 가스는, 예컨대 질소(N2)일 수 있으며, 약 50 sccm 내지 약 200 sccm의 유속으로 제공될 수 있다.
제 2 시기(t2)
이후 상기 기판이 탑재된 반응 공간 내에 플라스마를 인가하여 상기 증착 억제제를 활성화할 수 있다(S23). 상기 플라즈마는 RF 전력을 반응기에 공급하여 생성할 수 있다. 즉, 제 2 시기(t2) 동안 기판 상에 상기 증착 억제제를 계속하여 공급하면서 플라스마를 인가할 수 있다.
활성화된 상기 증착 억제제는 상기 상부 표면(113), 하부 표면(111) 및 측벽(112) 상에 이온화된 할로겐 원소의 층을 남길 수 있으며, 이는 증착 억제층 또는 그의 일부를 이룰 수 있다. 구체적으로, 상기 플라스마를 인가한 결과 상기 상부 표면(113), 하부 표면(111) 및 측벽(112) 상에는 불소(F), 염소(Cl), 브롬(Br), 요오드(I) 등의 층이 형성될 수 있다.
상기 플라스마를 인가하는 시간(즉, 상기 제 2 시기(t2)의 지속 시간)은 약 0.1 초 내지 약 1초일 수 있다. 이 때 상기 플라스마를 인가하기 위하여 상기 반응 공간에는 이중 RF 주파수(dual RF frequency) 파워, 즉 고주파 RF 파워(high frequency RF power)와 저주파 RF 파워(low frequency RF power)를 동시에 공급할 수 있다.
일부 실시예들에 있어서, 상기 고주파 RF 파워는 약 13.56 MHz의 주파수를 가질 수 있으며, 약 100W 내지 약 400W의 파워로 공급될 수 있다. 일부 실시예들에 있어서, 상기 저주파 RF 파워는 약 400 kHz의 주파수를 가질 수 있으며, 약 50W 내지 약 150W의 파워로 공급될 수 있다. 가령 13.56MHz의 고주파 RF 전력은 증착 억제제의 이온화율을 높이고 불소 활성종의 밀도를 증가시킨다. 또한 400kHz의 저주파 RF 전력은 불소 활성종의 이동 거리를 높여 갭의 측면 및 바닥면까지 불소층(fluorine-terminated sites)을 균일하게 형성할 수 있다.
상기 RF 전력의 공급 및 플라스마의 인가에 의하여 상기 증착 억제제는 활성화 및 분해되면서 상기 상부 표면(113), 하부 표면(111) 및 측벽(112) 상에 할로겐 원소의 층이 생성될 수 있다.
제 3 시기(t3)
이후 상기 반응 공간을 퍼지할 수 있다(S25). 상기 반응 공간을 퍼지하기 위하여 상기 플라스마의 인가를 중단할 수 있다.
일부 실시예들에 있어서, 상기 퍼지는 위에서 설명한 플라스마 인가 단계(S23)에서 사용된 캐리어 가스를 제 3 시기(t3) 동안 계속 유동시킴으로써 수행될 수 있다. 상기 캐리어 가스의 유량로서, 예를 들면 약 1000 sccm 내지 약 6000 sccm의 Ar 또는 N2가 공급될 수 있다. 상기 퍼지 단계에서 반응기에 잔류하는 잔류 기체를 제거할 수 있다. 가령 플라즈마로 인해 분해된 반응 부산물, 갭 구조물에 흡착되지 않은 원소 등을 제거할 수 있다.
상기 퍼지가 수행되는 시간(즉, 상기 제 3 시기(t3)의 지속 시간)은 약 0.1 초 내지 약 1초일 수 있다.
이상의 단계들(S21, S23, S25)은 제 1 서브-사이클을, 즉 도 4에서 상기 제 1 시기(t1), 상기 제 2 시기(t2), 및 상기 제 3 시기(t3), 이룰 수 있다.
이후 증착 억제층(120)이 충분히 형성되었는지 여부를 판단한다(S27). 만일 증착 억제층(120)의 형성이 불충분하다면 상기 증착 억제층(120)의 형성이 충분할 때까지 상기 제 1 서브-사이클을 반복 수행할 수 있다. 일부 실시예들에 있어서, 상기 제 1 서브-사이클은 1회의 대-사이클 내에서 1회 수행될 수 있다(M=1). 다른 일부 실시예들에 있어서, 상기 제 1 서브-사이클은 1회의 대-사이클 내에서 연속하여 2회 내지 10회 수행될 수 있다(M=2∼10).
만일 상기 증착 억제층(120)의 형성이 충분하다면 다음 단계, 즉 측벽에 비하여 상부 표면 상에 선택적으로 물질막을 형성하는 단계(S30)를 수행한다.
제 4 시기(t4)
도 2b, 도 3b 및 도 4를 참조하면, 상부 표면(113), 하부 표면(111) 및 측벽(112)을 포함하는 기판 상에 제 4 시기(t4) 동안 소스 물질 및 반응 물질을 공급한다(S31).
상기 소스 물질과 상기 반응 물질은 상기 기판 상에 형성하고자 하는 물질막의 종류에 따라 선택될 수 있다.
일부 실시예들에 있어서, 상기 물질막이 실리콘 산화막이고, 상기 소스 물질은 실리콘을 함유하는 실리콘 전구체이며 상기 반응 물질은 산소를 포함하는 산화제일 수 있다. 다른 일부 실시예들에 있어서, 상기 물질막이 실리콘 질화막이고, 상기 소스 물질은 실리콘을 함유하는 실리콘 전구체이며 상기 반응 물질은 질소를 포함하는 화합물일 수 있다.
구체적으로, 상기 실리콘 전구체는, 예를 들면 실란(SiH4), 디실란(Si2H6), 트리실란(Si3H8), 모노클로로실란(SiClH3), 디클로로실란(SiCl2H2, DCS), 트리클로로실란(SiCl3H), 테트라클로로실란(SiCl4), 헥사클로로디실란(hexachlorodisilane, HCD), 디아이오도실란(SiH2I2, DIS), 트리아이오도실란(SiI3H, TIS), 헥사클로로디실란(Si2Cl6), 디에틸실란(Et2SiH2), 테트라에틸오쏘실리케이트(Si(OCH2CH3)4, TEOS), 디이소프로필아미노실란(H3Si(N(i-Pr)2)), 비스(터셔리-부틸아미노)실란((C4H9(H)N)2SiH2), 테트라키스(에틸아미노)실란(Si(NHEt)4), 테트라키스(디메틸아미노)실란(Si(NMe2)4), 테트라키스(에틸메틸아미노)실란(Si(NEtMe)4), 테트라키스(디에틸아미노)실란(Si(NEt2)4), 트리스(디메틸아미노)실란(HSi(NMe2)3), 트리스(에틸메틸아미노)실란(HSi(NEtMe)3), 트리스(디에틸아미노)실란(HSi(NEt2)3), 트리스(디메틸하이드라지노)실란(HSi(N(H)NMe2)3), 비스(디에틸아미노)실란(H2Si(NEt2)2), 비스(디이소프로필아미노)실란(H2Si(N(i-Pr)2)2), 트리스(이소프로필아미노)실란(HSi(N(i-Pr)2)3), 또는 (디이소프로필아미노)실란(H3Si(N(i-Pr)2), 트리실릴아민((SiH3)3N, TSA), 디실록산(disiloxane, DSO), 디실릴메틸아민((SiH3)2NMe, DSMA), 디실릴에틸아민((SiH3)2NEt, DSEA), 디실릴이소프로필아민((SiH3)2N(i-Pr), DSIPA), 디실릴-tert-부틸아민((SiH3)2N(tBu), DSTBA), 디에틸실릴아민(SiH3NEt2, DESA), 디-tert-부틸실릴아민(SiH3N(tBu)2, DTBSA), 비스(디에틸아미노)실란(SiH2(NEt2)2, BDEAS), 비스(디메틸아미노)실란(SiH2(NMe2)2, BDMAS), 비스(에틸메틸아미노)실란(SiH2[N(Et)(Me)]2, BEMAS), 비스(tert-부틸아미노)실란(SiH2(NHtBu)2, BTBAS), 디이소프로필실릴아민(SiH3N(i-Pr)2, DIPSA), 헥사키스(에틸아미노)디실란(Si2(NHEt)6), 및 비스(트리메틸실릴아미노)실란(SiH2(NHSiMe3)2, BITS)로 구성되는 군으로부터 선택된 1종 이상일 수 있다.
상기 반응 물질은, 예를 들면, O2, O3, 플라스마 O2, 플라스마 O3, 수증기, 플라스마 H2O, NO, 플라스마 NO, N2O, 플라스마 N2O, NO2, 플라스마 NO2, 과산화수소, CO, 플라스마 CO, CO2, 플라스마 CO2, 질소(N2), 암모니아(NH3), 히드라진(N2H4), 디아젠(N2H2), 플라스마 N2, 플라스마 NH3, 플라스마 H2, 및 NF3로 구성되는 군으로부터 선택된 1종 이상일 수 있다.
일부 실시예들에 있어서, 상기 물질막은 지르코늄 산화물 또는 지르코늄 질화물이고, 상기 소스 물질은 지르코늄 전구체일 수 있다. 상기 지르코늄 전구체는, 예를 들면, (시클로펜타디에닐)트리스(디메틸아미노)지르코늄 ((cyclopentadienyl)tris(dimethylamino)zirconium: CpZr(NMe2)3), 테트라키스-에틸메틸아미도-지르코늄 (tetrakis-ethylmethylamido-zirconium, TEMAZ), 테트라키스-디에틸아미도-지르코늄 (tetrakis-diethylamido-zirconium, TDEAZ), 테트라키스-디메틸아미도-지르코늄 (tetrakis-dimethylamido-zirconium, TDMAZ), 비스-디이소프로필아미도-비스-디메틸아미도-지르코늄 (bis-diisopropylamido-bis-dimethylamido-zirconium), 비스-디-t-부틸아미도-비스-디메틸아미도-지르코늄 (bis-di-t-butylamido-bis-dimethylamido-zirconium), 비스-에틸메틸아미도-비스-디이소프로필아미도-지르코늄 (bis-ethylmethylamido-bis-diisopropylamido-zirconium), 비스-디에틸아미도-비스-디이소프로필아미도-지르코늄 (bis-diethylamido-bis-diisopropylamido-zirconium), 지르코늄 터셔리 부톡사이드 (Zr(OtBu)4, zirconium t-butoxide, ZTB), 테트라키스(1-메톡시-2-메틸-2-프로폭시)지르코늄(tetrakis(1-methoxy-2-methyl-2-propoxy) hafnium, Zr(mmp)4), 지르코늄 테트라클로라이드(ZrCl4), ZrCp2Me2, Zr(tBuCp)2Me2, Zr(N(iPr)2)4 및 트리스-디에틸아미도-디이소프로필아미도-지르코늄 (tris-diethylamido-diisopropylamido-zirconium) 중에서 선택되는 적어도 하나일 수 있으나, 이들에 한정되는 것은 아니다.
일부 실시예들에 있어서, 상기 물질막은 하프늄 산화물 또는 하프늄 질화물이고, 상기 소스 물질은 하프늄 전구체일 수 있다. 상기 하프늄 전구체는, 예를 들면, 하프늄 터셔리 부톡사이드 (Hf(OtBu)4, hafnium t-butoxide, HTB), 테트라키스(디에틸아미도)하프늄 (Hf(NEt2)4, tetrakis(diethylamido)hafnium, TDEAH), 테트라키스(에틸메틸아미도)하프늄 (Hf(NEtMe)4, tetrakis(ethylmethylamido)hafnium, TEMAH), 및 테트라키스(디메틸아미도)하프늄 (Hf(NMe2)4, tetrakis(dimethylamido)hafnium, TDMAH) 중에서 선택되는 적어도 하나일 수 있으나, 이들에 한정되는 것은 아니다.
일부 실시예들에 있어서, 상기 물질막은 이트륨(Y) 산화물 또는 이트륨 질화물이고, 상기 소스 물질은 이트륨 전구체일 수 있다. 상기 이트륨 전구체는, 예를 들면, Y(N(SiMe3)2)3, Y(N(i-Pr)2)3, Y(N(tBu)SiMe3)3, Y(TMPD)3, Cp3Y, (MeCp)3Y 및 Y(O(i-Pr))3로 구성되는 군으로부터 선택되는 1종 이상일 수 있지만 여기에 한정되는 것은 아니다.
일부 실시예들에 있어서, 상기 물질막은 티타늄(Ti) 산화물 또는 티타늄 질화물이고, 상기 소스 물질은 티타늄 전구체일 수 있다. 상기 티타늄 전구체는, 예를 들면, 티타늄 테트라키스(이소프로폭사이드)(Ti(O-iPr)4), 티타늄 할라이드, 시클로펜타디에닐 티타늄, 및 티타늄 비스(이소프로폭사이드)비스(2,2,6,6-테트라메틸-3,5-헵탄디오네이트)(Ti(O-iPr)2(thd)2), 테트라키스디메틸아미노티타늄(Ti[N(CH3)2]4, TDMAT), 테트라키스(디에틸아미노)티타늄((Et2N)4Ti, TEMAT)으로 구성되는 군으로부터 선택되는 1종 이상일 수 있지만 여기에 한정되는 것은 아니다.
일부 실시예들에 있어서, 상기 물질막은 탄탈륨(Ta) 산화물 또는 탄탈륨 질화물이고, 상기 소스 물질은 탄탈륨 전구체일 수 있다. 상기 탄탈륨 전구체는, 예를 들면, 탄탈륨펜타클로라이드(TaCl5), 탄탈륨펜타플루오라이드(TaF5), 펜타키스디메틸아미노탄탈럼(Ta(N(CH3)2)5, PDMAT), 펜타키스디에틸아미노탄탈륨(PDEAT; Ta(NEt2)5), 펜타키스(메틸에틸아미도)탄탈륨(PMEAT; Ta(NMeEt)5), tert-부틸이미노트리스(디메틸아미노)탄탈륨(TBTDMT, tBuNTa(NMe2)3), tert-부틸이미노트리스(디에틸아미노)탄탈륨(TBTDET, tBuNTa(NEt2)3), tert-부틸이미노트리스(메틸에틸아미노)탄탈륨(TBTMET, tBuNTa(NMeEt)3), 에틸이미도-트리스(디메틸아미도) 탄탈륨((EtN)Ta(NMe2)3), 에틸이미도-트리스(디에틸아미도)탄탈륨((EtN)Ta(NEt2)3), 에틸이미도-트리스(에틸메틸아미도)탄탈륨((EtN)Ta[N(Et)Me]3), tert-아밀이미도-트리스(디메틸아미도)탄탈륨((tAmylN)Ta(NMe2)3, (여기서 tAmyl은 tert-아밀기(CH3CH2C(CH3)2-)임), tert-아밀이미도-트리스(디에틸아미도)탄탈륨((tAmylN)Ta(NEt2)3), tert-아밀이미도-트리스(에틸메틸아미도)탄탈륨((tAmylN)Ta([N(Et)Me]3), 또는 이들의 유도체들과 같은 탄탈륨 화합물을 포함할 수 있다. 그러나 본 발명이 여기에 한정되는 것은 아니다.
일부 실시예들에 있어서, 상기 물질막은 텅스텐(W) 산화물 또는 텅스텐 질화물이고, 상기 소스 물질은 텅스텐 전구체일 수 있다. 상기 텅스텐 전구체는, 예를 들면, 비스(터셔리부틸이미도) 비스(터셔리부틸아미도)텅스텐((tBuN)2W(N(H)tBu)2), 비스(터셔리부틸이미도) 비스(디메틸아미도)텅스텐((tBuN)2W(NMe2)2), 비스(터셔리부틸이미도) 비스(디에틸아미도)텅스텐((tBuN)2W(NEt2)2), 비스(터셔리부틸이미도) 비스(에틸메틸아미도)텅스텐((tBuN)2W(NEtMe)2), 텅스텐 헥사플루오라이드, 텅스텐 헥사클로라이드, 또는 이들의 유도체들과 같은 텅스텐 화합물을 포함할 수 있다. 그러나 본 발명이 여기에 한정되는 것은 아니다.
일부 실시예들에 있어서, 상기 물질막은 알루미늄(Al) 산화물 또는 알루미늄 질화물이고, 상기 소스 물질은 알루미늄 전구체일 수 있다. 상기 알루미늄 전구체는, 예를 들면, 트리메틸알루미늄(trimethyl aluminum, TMA), 트리에틸알루미늄(triethyl aluminum, TEA), 메틸피롤리딘알란(1-methylpyrrolidine alane, MPA), 디메틸에틸아민알란(dimethylethylamine alane, DMEAA), 및 디메틸알루미늄하이드라이드(dimethyl aluminum hydride, DMAH)로 구성되는 군으로부터 선택되는 1종 이상일 수 있지만 여기에 한정되는 것은 아니다.
일부 실시예들에 있어서, 상기 물질막은 저머늄(Ge) 산화물 또는 저머늄 질화물이고, 상기 소스 물질은 저머늄 전구체일 수 있다. 상기 저머늄 전구체는, 예를 들면, 저메인(GeH4), 디저메인(Ge2H6), 트리저메인(Ge3H8), GeCl4, Ge(Me)4, 및 Ge(Et)4으로 구성되는 군으로부터 선택되는 1종 이상일 수 있지만 여기에 한정되는 것은 아니다.
일부 실시예들에 있어서, 상기 물질막은 붕소(B) 산화물 또는 붕소 질화물이고, 상기 소스 물질은 붕소 전구체일 수 있다. 상기 붕소 전구체는, 예를 들면, 보레인(BH3), 디보레인(B2H6), 트리플루오로보레인(BF3), 트리클로로보레인(BCl3), 트리브로모보레인(BBr3), 및 트리아이오도보레인(BI3)로 구성되는 군으로부터 선택되는 1종 이상일 수 있지만 여기에 한정되는 것은 아니다.
여기서, Me는 메틸기, Et는 에틸기, i-Pr은 이소프로필기, Bu는 부틸기, n-Bu는 n-부틸기, Cp는 사이클로펜타디에닐기, thd는 2,2,6,6-테트라메틸-3,5-헵탄디오네이트, 그리고 TMPD는 2,2,6,6-테트라메틸-p-페닐렌디아민을 나타낸다.
일부 실시예들에 있어서, 상기 소스 물질을 공급하는 유량은 약 100 sccm 내지 약 3000 sccm이고, 상기 반응 물질을 공급하는 유량은 약 500 sccm 내지 약 2000 sccm일 수 있다.
상기 소스 물질을 공급하는 유량이 너무 작으면 원하는 두께의 물질막을 형성하는 데 너무 긴 시간이 소요될 수 있다. 상기 소스 물질을 공급하는 유량이 너무 크면 상기 소스 물질이 불필요하게 소비되어 경제적으로 불리할 수 있고 후속 퍼지 단계에서 퍼지 시간이 길게 되어 기판 처리 시간이 길어지게 된다. 즉, 상기 소스 물질은 상기 기판의 표면에 자기 제한적으로 화학 흡착되는데, 공급되는 소스 물질의 유량이 너무 크면 화학 흡착되지 않은 과량의 소스 물질이 너무 많게 된다.
또 다른 일 실시예에서 상기 소스 물질은 캐리어 가스에 의하여 운반될 수 있다. 상기 캐리어 가스는 불활성 가스로서, 아르곤(Ar), 헬륨(He), 질소(N2), 네온(Ne) 등이 이용될 수 있으나 이들에 한정되는 것은 아니다. 상기 캐리어 가스의 유량은 상기 소스 물질의 유량, 운반하고자 하는 소스 물질의 증기압, 온도 등의 인자를 고려하여 적절히 선택될 수 있으며, 예를 들면 약 1000 sccm 내지 약 3000 sccm의 Ar 혹은 질소 기체(N2)가 캐리어 가스로서 공급될 수 있다.
또, 반응 공간에서 기판을 지지하는 서셉터의 하부 공간으로 소스 물질, 증착 억제제, 반응 물질 등의 공정 가스가 침투하는 것을 막기 위해 상기 서셉터의 하부 공간에 충전 가스(filling gas)가 더 제공될 수 있다. 상기 충전 가스는, 예컨대 질소(N2)일 수 있으며, 약 50 sccm 내지 약 1000 sccm의 유속으로 제공될 수 있다.
일부 실시예들에 있어서, 상기 소스 물질이 공급되는 제 4 시기(t4)의 지속 시간은 약 0.1 초 내지 약 1초일 수 있다.
상기 소스 물질의 공급에 의하여 상부 표면(113), 하부 표면(111) 및 측벽(112)을 포함하는 기판 상에서 상기 소스 물질이 화학 흡착될 수 있는 흡착 사이트(site)에 상기 소스 물질이 화학 흡착될 수 있다.
앞서 설명한 바와 같이 상부 표면(113), 하부 표면(111) 및 측벽(112) 상에는 증착 억제층이 형성되어 있기 때문에, 물질막을 형성하기 위한 제 2 서브-사이클의 초기에는 소스 물질의 화학 흡착이 극히 제한적으로 이루어질 수 있다. 하지만 상기 물질막을 형성하기 위한 상기 제 2 서브-사이클이 반복됨에 따라 퍼지 횟수가 증가하면서 증착 억제층이 서서히 제거되기 때문에 소스 물질이 흡착되는 범위도 그에 따라 점진적으로 증가할 수 있다. 추후에 보다 상세하게 설명되나, 상부 표면(113) 상의 증착 억제층이 측벽(112) 상의 증착 억제층에 비하여 빨리 제거되기 때문에 측벽(112)보다 상부 표면(113) 상에 소스 물질이 더 잘 화학 흡착될 수 있다.
제 5 시기(t5)
이후 상기 소스 물질의 공급을 중단하고 상기 반응 공간을 퍼지할 수 있다(S33).
일부 실시예들에 있어서, 상기 퍼지는 위에서 설명한 소스 물질 및 반응 물질의 공급 단계(S31)에서 사용된 캐리어 가스를 제 5 시기(t5) 동안 계속 유동시킴으로써 수행될 수 있다. 상기 캐리어 가스의 유량로서, 예를 들면 약 200 sccm 내지 약 3000 sccm의 Ar 혹은 질소 기체(N2) 공급될 수 있다.
또, 상기 서셉터의 하부 공간에 충전 가스가 계속하여 약 50 sccm 내지 약 1000 sccm의 유속으로 제공될 수 있다.
일부 실시예들에 있어서, 상기 퍼지가 수행되는 동안 반응 물질을 계속하여 공급할 수 있다. 상기 반응 물질의 공급 속도는 제 4 시기(t4)와 동일할 수 있다.
상기 퍼지가 수행되는 시간(즉, 상기 제 5 시기(t5)의 지속 시간)은 약 0.1 초 내지 약 1초일 수 있다.
제 6 시기(t6)
이후 상기 기판이 탑재된 반응 공간에 플라스마를 인가하여 상기 반응 물질을 활성화할 수 있다(S35). 즉, 제 6 시기(t6) 동안 기판 상에 상기 반응 물질을 계속하여 공급하면서 플라스마를 인가할 수 있다. 상기 플라즈마는 RF전력을 반응 공간에 공급하여 생성할 수 있다.
활성화된 상기 반응 물질은 상기 상부 표면(113), 하부 표면(111) 및 측벽(112) 상에 화학 흡착된 소스 물질과 반응하여 물질층을 형성할 수 있다. 상기 물질층은 앞서 설명한 소스 물질의 중심 원소의 산화물 또는 질화물일 수 있다.
뒤에서 더욱 상세하게 설명하는 바와 같이 상기 상부 표면(113) 상에서의 소스 물질의 화학 흡착은 증착 억제층(120)이 잔존하는 측벽(112)에 비하여 보다 활발하기 때문에 상기 물질층은 상기 상부 표면(113) 상에 더 높은 선택성을 가지면서 형성될 수 있다.
또한 상기 반응 물질은 플라즈마에 의해 이온화되어 수직의 방향성을 갖기 때문에, 상부 표면(113) 상에 잔존하는 증착 억제층을 하기 화학식과 같은 반응에 의해 계속적으로 제거하며 이는 그 다음 사이클의 제 4 시기(t4)에서 소스 물질이 화학 흡착할 수 있는 사이트를 확대할 수 있다.
2F- + (1/2)O2 + (ionization energy) → 2F- + O2+ → OF2 (↑)
다시 말해, 증착 억제층을 선택적으로 제거하는 단계와 상부 표면 상에 물질막을 선택적으로 증착시키는 단계는 실질적으로 동시에 수행될 수 있다.
상기 플라스마를 인가하는 시간(즉, 상기 제 6 시기(t6)의 지속 시간)은 약 0.1 초 내지 약 1초일 수 있다. 이 때 상기 플라스마를 인가하기 위하여 상기 반응 공간에는 약 13.56 MHz의 주파수를 갖는 고주파 RF 파워가 약 100W 내지 약 400W의 파워로 공급될 수 있다. 일부 실시예들에 있어서, 제 1 서브-사이클에서와는 달리 약 400 kHz의 주파수를 갖는 저주파 RF 파워는 공급되지 않을 수 있다.
상기 반응 물질은 캐리어 가스에 의하여 운반될 수 있다. 상기 캐리어 가스는 불활성 가스로서, 아르곤(Ar), 헬륨(He), 질소(N2), 네온(Ne) 등이 이용될 수 있으나 이들에 한정되는 것은 아니다. 상기 캐리어 가스의 유량은 상기 반응 물질의 유량, 운반하고자 하는 반응 물질의 증기압, 온도 등의 인자를 고려하여 적절히 선택될 수 있으며, 예를 들면 약 1000 sccm 내지 약 3000 sccm의 Ar 혹은 질소 기체(N2)가 캐리어 가스로서 공급될 수 있다.
또, 상기 서셉터의 하부에 충전 가스가 계속하여 약 50 sccm 내지 약 1000 sccm의 유속으로 제공될 수 있다.
일부 실시예들에 있어서, 상기 플라스마 인가가 수행되는 동안 반응 물질을 계속하여 공급할 수 있다. 상기 반응 물질의 공급 속도는 제 4 시기(t4)와 동일할 수 있다.
제 7 시기(t7)
이후 상기 플라스마의 인가를 중단하고 상기 반응 공간을 퍼지할 수 있다(S37).
일부 실시예들에 있어서, 상기 퍼지는 제 7 시기(t7) 동안 상기 반응 공간에 캐리어 가스를 공급함으로써 수행될 수 있다. 상기 캐리어 가스는 불활성 가스로서, 아르곤(Ar), 헬륨(He), 질소(N2), 네온(Ne) 등이 이용될 수 있으나 이들에 한정되는 것은 아니다. 상기 캐리어 가스의 유량은, 예를 들면 약 200 sccm 내지 약 3000 sccm의 Ar 혹은 질소 기체(N2) 캐리어 가스로서 공급될 수 있다.
일부 실시예들에 있어서, 상기 퍼지가 수행되는 동안 반응 물질을 계속하여 공급할 수 있다. 상기 반응 물질의 공급 속도는 제 4 시기(t4)와 동일할 수 있다.
상기 퍼지가 수행되는 시간(즉, 상기 제 7 시기(t7)의 지속 시간)은 약 0.1 초 내지 약 1초일 수 있다.
이상의 단계들(S31, S33, S35, S37)은 제 2 서브-사이클을, 즉 제 4 시기(t4), 제 5 시기(t5), 제 6 시기(t6), 및 제 7 시기(t7)으로 이루어진, 이룰 수 있다.
이후 측벽(112) 상에 증착 억제층(120)이 잔존하는지 여부를 판단한다(S39). 만일 측벽(112) 상에 증착 억제층(120)이 잔존한다면 상기 제 2 서브-사이클을 반복 수행할 수 있다. 일부 실시예들에 있어서, 상기 제 2 서브-사이클은 1회의 상기 대-사이클 내에서 복수회 수행될 수 있다.
상기 대-사이클 내에서 제 1 서브-사이클이 수행되는 횟수(M)과 제 2 서브-사이클이 수행되는 횟수(N)의 비율은 약 1:1 내지 약 1:40일 수 있다. 일부 실시예들에 있어서, 상기 M:N의 비율은 약 1:2 내지 약 1:38, 약 1:5 내지 약 1:36, 약 1:10 내지 약 1:34, 약 1:15 내지 약 1:32, 약 1:20 내지 약 1:30, 또는 이들 수치 사이의 임의의 범위일 수 있다.
상기 M:N의 비율은 사용된 증착 억제층의 종류와 증착 조건 등을 고려하여 결정될 수 있다. 상기 M:N의 비율이 너무 크면(즉, M이 과도하게 크면) 물질막이 형성되지 않거나 너무 느리게 형성될 수 있다. 상기 M:N의 비율이 너무 작으면(즉, N이 과도하게 크면) 물질막 형성의 선택성이 저하될 수 있다.
만일 측벽(112) 상에 증착 억제층(120)이 잔존하지 않는다면 다음 단계인 물질막 형성이 완료되었는지 여부를 판단(S40)(도 1 참조)한다. 만일 물질막 형성이 완료되었으면 물질막 형성 과정을 종료한다.
그렇지 않고 물질막 형성이 아직 미흡하다면, M회의 제 1 서브-사이클 및 N 회의 제 2 서브-사이클을 포함하는 1회의 대-사이클을 물질막 형성이 완료될 때까지 총 X 회의 대-사이클을 수행할 수 있다.
도 5는 본 발명의 일 실시예에 따른 물질막 형성 방법을 개략적으로 나타낸 모식도이다.
도 5를 참조하면, 대-사이클은 제 1 서브-사이클과 그에 후속하여 진행되는 제 2 서브-사이클을 포함한다.
상기 제 1 서브-사이클에서는 증착 억제층(여기서는 불소(F) 층으로 표현)이 형성된다. 즉, 증착 억제제로서 NF3를 공급하고 플라스마를 인가함으로써 기판 상에 F층이 균일하게 형성될 수 있다. 제 1 서브-사이클은 1회 또는 복수회 수행될 수 있다.
상기 제 2 서브-사이클에서는 소스 물질을 공급하는 단계와 반응 물질 공급 및 플라스마 인가 단계를 포함한다. 상기 제 2 서브-사이클이 반복됨에 따라, 플라스마에 의한 산소 이온의 이온 포격으로 증착 저해 물질층이 부분적으로 제거된다. 즉, 수직 방향으로 산소 이온의 이온 포격이 진행됨으로 인해 이온의 진행방향과 수직 방향에 있는 상부 표면과 하부 표면 상의 증착 억제층이 제거되고 측벽 상의 증착 억제층은 대체로 잔존한다. 예를 들면, 증착 억제층은 다음 반응식에 의하여 제거될 수 있다.
2F- + (1/2)O2 + (ionization energy) → 2F- + O2+ → OF2 (↑)
이후 소스 물질이 측벽에 비하여 상부 표면과 하부 표면 상에, 특히 상부 표면 상에 흡착되고 흡착된 소스 물질은 반응 물질과 반응하여 물질막(여기서는 SiO2)을 형성하게 된다. 이러한 제 2 서브-사이클은 측벽 상에 증착 억제층이 잔존하는 범위에서 상기 물질막이 원하는 두께, 형태 등을 가질 때까지 반복될 수 있다.
도 6은 증착 억제층이 없는 경우(G)와 증착 억제층이 존재하는 경우(H)에 있어서 물질막의 성막 속도를 개략적으로 비교한 그래프이다.
도 6을 참조하면, 그래프의 가로축은 증착 사이클의 횟수를 나타내고 세로축은 갭 구조물 상에 성장된 막의 두께를 나타낸다. 증착 억제층이 존재하지 않는 경우(G)는 증착 사이클이 반복됨에 따라 갭 구조물 상에 물질막이 비례적으로 형성되는 것이 나타난다.
한편, 증착 억제층이 존재하는 경우(H)는 증착 횟수가 소정 횟수(a)에 도달하기까지는 증착 억제층으로 인해 갭 구조물 상에 물질막이 형성되지 않는다(구간 A). 본 단계는 증착 사이클이 반복됨에도 불구하고 증착 억제층이 형성된 표면이 존재한다는점에서 비복원 상태(non-recovery state), 또는 증착 사이클의 반복에도 물질막이 형성되지 않는 점에서 잠복기(incubation period)로 불릴 수 있다.
도 2b에 도시한 구조물의 경우 상부 표면(113)과 하부 표면(111) 상의 증착 억제층(120)이 점차 제거되는 단계이다. 이 때 측벽(112) 상의 증착 억제층(120)은 상대적으로 느리게 제거되거나 거의 제거되지 않을 수 있다.
이후 증착 사이클이 반복됨에 따라 증착 억제층이 부분적으로 제거됨에 따라 물질막이 부분적으로 불연속적으로 느리게(partly, discontinuously, and slowly) 성장한다(구간 B). 따라서 물질막이 성장하는 기울기는 증착 억제층이 존재하지 않는 경우(G)에 비하여 작다.
본 단계는 증착 사이클이 반복됨에 따라 증착 억제층이 제거된 표면이 부분적으로 존재하나 증착 억제층이 형성되기 이전 상태로 완전히 복원되지 않은 점에서 불완전 복원 상태(insufficient recovery state)라고 불릴 수 있다.
도 2b에 도시한 구조물의 경우 상부 표면(113)과 하부 표면(111) 상의 증착 억제층(120)은 전부 제거되어 여기에 상기 물질막이 형성된다. 상기 측벽(112) 상의 증착 억제층(120)은 비복원 상태(도 6의 구간 A)에서의 증착 억제층에 비하여 더 얇아져 있지만 여전히 존재하며, 그로 인해 측벽(112)과 상부 표면(113) 사이의 물질막의 선택적 형성이 가능해진다.
이후 증착 사이클이 소정 횟수(b) 이상 수행되면 증착 억제층이 모두 제거되어 물질막의 성장 속도(즉, 기울기)에 있어서 증착 억제층이 존재하지 않는 경우(G)와 차이가 없게 된다(구간 C).
본 단계는 증착 억제층이 완전히 제거되어, 증착 억제층이 형성되기 이전 상태로 완전히 복원된 점에서 완전 복원 상태(sufficient recovery state)라고 불릴 수 있다.
도 2b에 도시한 구조물의 경우 상부 표면(113) 상에서의 물질막의 성장 속도가 신속하여 도 2c에서와 같이 에어갭(AG)이 형성되는 반면 측벽(112) 상에는 물질막이 형성되지 않거나 극히 부분적으로 형성될 수 있다. 만일 에어갭(AG)이 형성되기 이전에 측벽(112) 상의 증착 억제층(120)이 제거된다면 측벽(112) 상에도 물질막이 형성될 수 있다. 다만, 상기 측벽(112) 상에 형성되는 물질막은 상대적으로 더 얇은 두께를 가질 수 있다.
도 7a 내지 도 7d는 도 6의 각 단계에 따른 갭 구조물 상에 형성된 SiO2 막의 프로파일을 나타낸 이미지들이다.
도 7a의 이미지는 도 6의 구간 A의 H에 대응되며 증착 억제층으로 인해 물질막이 형성되지 않았다.
도 7b의 이미지는 도 6의 구간 B의 H에 대응되며 증착 억제층이 측벽(112) 상에 잔존하여 측벽(112) 상에는 물질막이 거의 형성되지 않은 것을 볼 수 있다. 에어갭(AG)의 형상 및 부피는 갭 구조물의 내부 형상, 즉 갭의 측벽, 바닥면 및 상부 영역으로 둘러싸인 갭 공간의 형상 및 부피와 거의 동일하다.
도 7c의 이미지는 에어갭이 형성되지 않는 경우를 나타낸다. 또한 측벽(112) 상에 물질막이 불연속적으로 형성된 것이 관찰된다. 이는 측벽(112) 상에서도 증착 억제층이 간헐적으로 제거되고, 이 제거된 부분에 물질막이 형성된 것을 의미한다.
도 7b와 도 7c의 이미지는 모두 도 6 그래프의 B 구간의 H에 대응되나, 도 7b의 이미지는 a 쪽에 가깝고 도 7c의 이미지는 b 쪽에 가깝다.
도 7d는 측벽 상에서도 증착 억제층이 완전히 제거되어 물질막이 측벽 상에도 형성되는 것을 나타내며, 도 6 그래프의 C 구간의 H에 대응된다. 도 7d에서 보는 바와 같이 측벽 상에도 균일한 물질막이 형성된 것이 관찰된다.
도 8a 및 도 8b는 도 6의 구간 B에서 증착 사이클 횟수에 따른 SiO2 물질막의 형성을 나타낸 이미지들이다.
도 8a는 구간 B에서 증착 사이클 횟수가 a 사이클에 가까운 경우이다. 도 8a를 참조하면 갭의 측벽(112) 상에 SiO2 물질막 형성이 억제되고 갭 구조물의 상부면(113) 및 하부면(111) 상에 SiO2 물질막이 선택적으로 증착된 것이 관찰된다.
도 8b는 구간 B에서 증착 사이클 횟수가 b 사이클에 가까운 경우이다. 상부 표면(113) 상의 SiO2 물질막이 더 두껍게 성장하여 이웃하는 SiO2 물질막들이 서로 연결되면서 에어갭(AG)이 형성되었으며, 갭의 측면(112)에 SiO2 물질막이 불연속적으로 형성되면서 점진적으로 SiO2 물질막이 형성된 것이 관찰된다.
도 9는 본 발명의 다른 실시예에 따른 물질막 형성 방법을 개략적으로 나타낸 흐름도이다. 도 10은 도 9의 상부 표면 상의 증착 억제층을 선택적으로 제거하는 방법을 상세하게 나타낸 흐름도이다. 도 11은 도 10의 방법을 나타낸 타이밍 다이어그램이다.
도 9의 실시예에 따른 물질막 형성 방법은 도 1을 참조하여 설명한 물질막 형성 방법과 대비하여 상부 표면 상의 증착 억제층을 선택적으로 제거하는 단계를 별도로 더 포함하는 점을 제외하면 실질적으로 동일하다. 따라서 이하에서는 이러한 차이점을 중심으로 설명한다.
도 9 내지 도 11을 참조하면, 본 실시예의 물질막 형성 방법은 기판 상에 증착 억제층을 형성하는 단계(S20)와 측벽에 비하여 상부 표면 상에 물질막을 선택적으로 형성하는 단계(S30)의 사이에 측벽에 비하여 상부 표면으로부터 증착 억제층을 선택적으로 제거하는 단계(S50)를 포함한다.
상기 상부 표면 상의 증착 억제층을 선택적으로 제거하는 단계(S50)는 도 11에서 보여지듯이 제 3 시기(t3)와 제 4 시기(t4) 사이에 제 8 시기(t8) 및 제 9 시기(t9)를 포함할 수 있다. 여기서 '제 3', '제 4', '제 8', '제 9' 등의 용어는 단순 식별하기 위한 것이지 특정 순서를 의미하는 것이 아님은 앞서 언급한 바와 같다.
제 8 시기(t8)
제 8 시기(t8)는 기판 상에 증착 억제층을 형성하는 단계(S20) 이후에 수행될 수 있다. 제 8 시기(t8)에서 상기 기판이 탑재된 반응 공간에 반응 물질을 계속 공급하면서 플라스마를 인가하여 상기 반응 물질을 활성화할 수 있다(S51). 즉, 제 8 시기(t8) 동안 기판 상에 상기 반응 물질을 계속하여 공급하면서 플라스마를 인가할 수 있다(S51).
상기 반응 물질을 공급하면서 플라스마를 인가함으로써 상기 반응 물질은 활성화되고 그에 의하여 상기 반응 물질이 이온화될 수 있다. 플라즈마는 RF전력을 반응 공간에 공급하여 생성할 수 있다.
상기 반응 물질에 플라즈마를 인가함으로써 이온화된 상기 반응 물질을 상기 기판 상에 실질적으로 수직 충돌시킬 수 있다. 이온화된 반응 물질은 전하를 띠기 때문에 방향성을 가지면서 움직일 수 있고, 그로 인한 이온 포격(ion bombardment)으로 기판 상의, 특히 상부 표면(113) 상의 증착 억제층과 충돌 및 반응하여 상부 표면(113)으로부터 증착 억제층을 제거할 수 있다. 또 상기 이온 포격으로 측벽(112) 상의 증착 억제층(120)은 상부 표면(113)에 비하여 상대적으로 느리게 제거된다. 다시 말해 상기 이온 포격에 의하여 상부 표면(113) 상의 증착 억제층은 측벽(112) 상의 증착 억제층에 비하여 선택적으로 제거될 수 있다.
제 8 시기(t8)의 반응 물질은 제 4 시기(t4) 및/또는 제 6 시기(t6)의 반응 물질과 동일할 수도 있고 상이할 수도 있다. 예를 들면, 제 4 시기(t4) 및/또는 제 6 시기(t6)에는 질소의 소스로서 작용 가능한 반응 물질(제 1 반응 물질)이 사용되고 제 8 시기(t8)에는 산소의 소스로서 작용 가능한 반응 물질(제 2 반응 물질)이 사용될 수 있다. 또는 제 4 시기(t4) 및/또는 제 6 시기(t6)에는 산소의 소스로서 작용 가능한 반응 물질이 사용되고 제 8 시기(t8)에는 질소의 소스로서 작용 가능한 반응 물질이 사용될 수 있다.
상기 플라스마를 인가하는 시간(즉, 상기 제 8 시기(t8)의 지속 시간)은 약 0.1 초 내지 약 1초일 수 있다. 이 때 상기 플라스마를 인가하기 위하여 상기 반응 공간에는 약 13.56 MHz의 주파수를 갖는 고주파 RF 파워가 약 100W 내지 약 400W의 파워로 공급될 수 있다. 일부 실시예들에 있어서, 제 1 서브-사이클에서와는 달리 약 400 kHz의 주파수를 갖는 저주파 RF 파워는 공급되지 않을 수 있다.
상기 반응 물질은 캐리어 가스에 의하여 운반될 수 있다. 상기 캐리어 가스는 불활성 가스로서, 아르곤(Ar), 헬륨(He), 질소(N2), 네온(Ne) 등이 이용될 수 있으나 이들에 한정되는 것은 아니다. 상기 캐리어 가스의 유량은 상기 반응 물질의 유량, 운반하고자 하는 반응 물질의 증기압, 온도 등의 인자를 고려하여 적절히 선택될 수 있으며, 예를 들면 약 1000 sccm 내지 약 3000 sccm의 Ar 혹은 질소 기체(N2)가 캐리어 가스로서 공급될 수 있다.
또, 반응 공간에서 기판을 지지하는 서셉터의 하부 공간으로 소스 물질, 증착 억제제, 반응 물질 등의 공정 가스가 침투하는 것을 막기 위해 상기 서셉터의 하부 공간에 충전 가스가 더 제공될 수 있다. 상기 충전 가스는, 예컨대 질소(N2)일 수 있으며, 약 50 sccm 내지 약 200 sccm의 유속으로 제공될 수 있다.
일부 실시예들에 있어서, 상기 플라스마 인가가 수행되는 동안 반응 물질을 계속하여 공급할 수 있다. 상기 반응 물질의 공급 속도는 약 500 sccm 내지 약 2000 sccm일 수 있다.
제 9 시기(t9)
이후 상기 플라스마의 인가를 중단하고 상기 반응 공간을 퍼지할 수 있다(S53).
일부 실시예들에 있어서, 상기 퍼지는 제 9 시기(t9) 동안 상기 반응 공간에 캐리어 가스를 공급함으로써 수행될 수 있다. 상기 캐리어 가스는 불활성 가스로서, 아르곤(Ar), 헬륨(He), 질소(N2), 네온(Ne) 등이 이용될 수 있으나 이들에 한정되는 것은 아니다. 상기 캐리어 가스의 유량은, 예를 들면 약 200 sccm 내지 약 3000 sccm의 Ar 혹은 질소 기체(N2) 캐리어 가스로서 공급될 수 있다.
일부 실시예들에 있어서, 상기 퍼지가 수행되는 동안 반응 물질을 계속하여 공급할 수 있다. 상기 반응 물질의 공급 속도는 제 8 시기(t8)와 동일할 수 있다.
상기 퍼지가 수행되는 시간(즉, 상기 제 9 시기(t9)의 지속 시간)은 약 0.1 초 내지 약 1초일 수 있다.
이상의 단계들(S51, S53)은 이온 포격 서브-사이클을 이룰 수 있다.
이후 상부 표면(113) 상의 증착 억제층(120)이 충분히 제거되었는지 여부를 판단한다(S57). 만일 상부 표면(113) 상의 증착 억제층(120)이 충분히 제거되지 않았다면 상기 이온 포격 서브-사이클을 반복할 수 있다. 일부 실시예들에 있어서, 상기 이온 포격 서브-사이클은 1회의 상기 대-사이클 내에서 복수회, 예컨대 2회 내지 10회 수행될 수 있다.
표 1은 본 발명의 일 실시예에 따른 공정 조건을 나타낸다. 표 1의 실시예는 갭 구조물 상에 SiO2 막을 증착하면서 에어갭 구조를 형성할 수 있다.
공정 변수들 증착 억제층 형성 증착 단계
가스 공급 속도 (sccm) 소스 캐리어 Ar 1,000 - 3,000 1,000 - 3,000
반응물 (O2) 0 500 - 2,000
증착 억제제 (NF3) 5 - 300 0
퍼지 Ar 1,000 - 6,000 200 - 3,000
바텀 N2 (충전 가스) 50 - 200 50 - 1,000
단계별 처리 시간
(sec)
증착 억제제 공급 (RF-on) 0.1 - 1.0 0
증착 억제제 퍼지 0.1 - 1.0 0
소스 공급 0 0.1 - 1.0
소스 퍼지 0 0.1 - 1.0
반응물 공급 (RF-on) 0 0.1 - 1.0
반응물 퍼지 0 0.1 - 1.0
주파수 별 RF 파워(W) 고주파 (13.56MHz) 100 W - 400W 100 W - 400W
저주파 (400kHz) 50 W - 150W 0
사이클 비율 (M:N) 1 10 - 100
공정 압력 (Torr) 2 - 5 Torr
공정 온도 (℃) 실온 내지 600℃
도 12는 본 발명의 기술적 사상에 의한 실시예들에 따른 물질막 형성 방법이 적용될 수 있는 기판 처리 장치(100)를 개략적으로 도시한다.도 12를 참조하면, 기판 처리 장치(100)는 기체 분사 수단을 이용하여 기체를 기판을 향해 수직 방향으로 공급하는 방식으로 구현될 수 있다. 예를 들어, 도 12의 기판 처리 장치(100)는 실리콘 산화막 또는 실리콘 질화막 형성을 위한 플라즈마 강화 원자층 증착(PEALD) 장치일 수 있다. 이하에서는 실리콘의 소스 물질로서 DCS (dichlorosilane, Si2H2Cl2), 반응 물질로서 산소(O2), 증착 억제제로서 NF3를 사용함을 전제로, 본 발명의 기술적 사상에 의한 실시예들에 따른 기판 처리 장치를 설명하기로 한다.원자층 증착 장치는 반응 챔버(10), 기체 분사 수단(20), 배기 수단(30), DCS 공급관(40), Ar 공급관(50), N2 공급관(60), O2 공급관(70), NF3 공급관(80), DCS 바이패스관(45), O2 바이패스관(75), 제1 공급관(101)과 제2 공급관(102)을 포함할 수 있다. 또한, 원자층 증착 장치는 관들의 기체 흐름 제어를 위한 밸브들(V1 내지 V8)을 더 포함할 수 있다. 제1 밸브(V1) 내지 제8 밸브(V8)의 기능은 다음과 같다.
- 제1 밸브(V1) : DCS 공급관(40)과 제1 공급관(101)의 연결 제어
- 제2 밸브(V2) : DCS 공급관(40)과 DCS 바이패스관(45)의 연결 제어
- 제3 밸브(V3) : Ar 공급관(50)과 제1 공급관(101)의 연결 제어
- 제4 밸브(V4) : O2 공급관(70)과 제2 공급관(102)의 연결 제어
- 제5 밸브(V5) : O2 공급관(70)과 O2 바이패스관(75)의 연결 제어
- 제6 밸브(V6) : Ar 공급관(50)과 제2 공급관(102)의 연결 제어
- 제7 밸브(V7) : Ar 공급관(50)과 N2 공급관(60)의 연결 제어
- 제8 밸브(V8) : Ar 공급관(50)과 NF3 공급관(80)의 연결 제어
반응 챔버(10)는 반도체 기판(미도시) 상에 실리콘 산화물 박막의 증착을 수행하기 위한 공간으로서, 밀폐된 공간일 수 있다. 이를 위해 상기 반응 챔버(10)는 씰 기체(seal gas) 및/또는 오 링(O-ring)과 같은 밀폐 수단을 이용하여 반응기 외부와 격리될 수 있고, 통상 대기압 이하의 압력으로 유지될 수 있다. 반응 챔버(10)에는 상기 반도체 기판(SUB)이 놓이는 기판 지지 수단 혹은 서셉터(11)가 배치될 수 있고, 반응 챔버(10)의 옆면에는 기판(SUB)의 출입을 가능하게 하는 게이트 밸브(미도시)가 설치될 수 있다. 이 경우 상기 게이트 밸브는 기판(SUB)의 출입 시에만 열리고 공정 중에는 닫힌 상태를 유지할 수 있다.
기체 분사수단(20)은, 제1 공급관(101)과 제2 공급관(102)을 통해 공급된 DCS, O2, NF3, Ar, 및 N2를 반도체 기판(SUB) 상에 균일하게 공급하도록 구성될 수 있다. 예를 들어, 기체 분사 수단(20)은 샤워헤드일 수 있다. 선택적인 실시예에서, 기체 분사 수단(20)은 RF 플라즈마 발생기와 연결될 수 있고, 그에 따라 플라즈마 원자층 증착 공정이 진행될 수 있다. 또 다른 실시예에서, 기체 분사 수단(20)은 플라즈마 전극으로서 작용할 수 있다.
반응 챔버(10)를 거친 소스 물질 및 반응 물질은 배기 수단(30)을 통해 배기될 수 있다. 배기 수단(30)은 외부와 연결된 적어도 하나의 배기관(미도시)을 포함할 수 있다.
기판 처리 장치(100)는 바이패스 관들(bypass lines)을 더 포함할 수 있다. 예를 들어 본 실시예에서와 같이, 기판 처리 장치(100)는 DCS 바이패스 관(45) 및 O2 바이패스 관(75)을 포함할 수 있다. 이 경우 NF3, DCS, O2는 각각 적시에 상기 반응 챔버(10)로 공급되어 원자층 증착 공정을 진행하며, 도 4 및 도 11의 타이밍 다이어그램에 부합하게 각 밸브들이 조작될 수 있다.
이상에서 살펴본 바와 같이 본 발명의 실시예들에 대해 상세히 기술되었지만, 본 발명이 속하는 기술분야에 있어서 통상의 지식을 가진 사람이라면, 첨부된 청구 범위에 정의된 본 발명의 정신 및 범위를 벗어나지 않으면서 본 발명을 여러 가지로 변형하여 실시할 수 있을 것이다. 따라서 본 발명의 앞으로의 실시예들의 변경은 본 발명의 기술적 사상의 범위를 벗어날 수 없을 것이다.

Claims (19)

  1. 상부 표면, 하부 표면, 및 상기 상부 표면과 상기 하부 표면을 연결하는 측벽을 갖는 갭 구조물 내에 에어갭을 형성하기 위한 방법으로서, 선택적 증착 사이클을 반복 수행하는 단계를 포함하고,
    상기 선택적 증착 사이클은:
    상기 갭 구조물을 포함하는 기판 상에 증착 억제제를 공급하는 단계; 및
    상기 측벽에 비하여 상기 상부 표면 상에 선택적으로 물질막을 형성하는 단계;
    를 포함하는 에어갭 형성 방법.
  2. 제 1 항에 있어서,
    상기 증착 억제제를 공급하는 단계는 제 1 서브-사이클을 1회 또는 복수회 수행하는 단계를 포함하고, 상기 제 1 서브-사이클은:
    이온화된 증착 억제제를 상기 기판 상에 공급하는 단계; 및
    퍼지 가스를 공급하는 단계;
    를 포함하는 것을 특징으로 하는 에어갭 형성 방법.
  3. 제 2 항에 있어서,
    상기 선택적으로 물질막을 형성하는 단계는 제 2 서브-사이클을 1회 또는 복수회 수행하는 단계를 포함하고, 상기 제 2 서브-사이클은:
    소스 물질을 공급하는 단계;
    과량의 소스 물질을 퍼지하는 단계;
    이온화된 제 1 반응 물질을 공급하는 단계; 및
    과량의 제 1 반응 물질을 퍼지하는 단계;
    를 포함하는 것을 특징으로 하는 에어갭 형성 방법.
  4. 제 3 항에 있어서,
    상기 증착 억제제를 공급하는 단계와 상기 선택적으로 물질막을 형성하는 단계의 사이에 이온 포격 서브-사이클을 1회 또는 복수회 수행하는 단계를 더 포함하고,
    상기 이온 포격 서브-사이클은:
    제 2 반응 물질을 상기 기판 상에 공급하는 단계;
    상기 제 2 반응 물질을 이온화하여 상기 기판 상에 실질적으로 수직 충돌하도록 플라즈마를 인가하는 단계; 및
    과량의 제 2 반응 물질을 퍼지하는 단계;
    를 포함하는 것을 특징으로 하는 에어갭 형성 방법.
  5. 제 2 항에 있어서,
    상기 제 1 서브-사이클의 수행 횟수와 상기 제 2 서브-사이클의 수행 횟수의 비는 1:1 내지 1:40인 것을 특징으로 하는 에어갭 형성 방법.
  6. 제 2 항에 있어서,
    상기 제 1 서브-사이클의 수행 횟수와 상기 제 2 서브-사이클의 수행 횟수의 비는 1:20 내지 1:30인 것을 특징으로 하는 에어갭 형성 방법.
  7. 제 2 항에 있어서,
    상기 증착 억제제는 함할로겐(halogen-containing) 화합물인 것을 특징으로 하는 에어갭 형성 방법.
  8. 제 7 항에 있어서,
    상기 함할로겐 화합물은 F2, SF6, CF4, C2F6, CHF3, CH2F2, ClF3, NF3, C3F8, C4F8, HF, SiF4, Cl2, HCl, BCl3, CCl4, SiCl4, SiHCl3, SiH2Cl2, Si2Cl6, CHCl3, CH2Cl2, CH3Cl, PCl3, PCl5, POCl3, NCl3, S2Cl2, SOCl2, SO2Cl2, COCl2, 및 HBr로 구성되는 군으로부터 선택된 1종 이상인 것을 특징으로 하는 에어갭 형성 방법.
  9. 제 7 항에 있어서,
    상기 증착 억제제를 공급하는 단계에 의하여 상기 갭 구조물의 상기 상부 표면, 하부 표면, 및 측벽 상에 할로겐 원소의 층이 균일하게 형성되는 것을 특징으로 하는 에어갭 형성 방법.
  10. 제 9 항에 있어서,
    상기 선택적으로 물질막을 형성하는 단계를 수행하는 동안 상기 제 2 서브-사이클이 반복됨에 따라 상기 측벽 상의 할로겐 원소의 층보다 상기 상부 표면 상의 할로겐 원소의 층이 더 빨리 제거되는 것을 특징으로 하는 에어갭 형성 방법.
  11. 상부 표면, 하부 표면, 및 상기 상부 표면과 상기 하부 표면을 연결하는 측벽을 갖는 갭 구조물 상에 물질막을 선택적으로 증착시키기 위한 선택적 증착 방법으로서, 상기 선택적 증착 방법은 반응 공간 내에서 선택적 증착 사이클을 반복 수행하는 단계를 포함하고,
    상기 선택적 증착 사이클은:
    상기 상부 표면, 상기 하부 표면, 및 상기 측벽 상에 증착 억제층을 균일하게 형성하는 단계;
    상기 측벽에 비하여 상기 상부 표면으로부터 증착 억제층을 선택적으로 제거하는 단계; 및
    상기 측벽 상에 상기 증착 억제층이 잔존하는 동안 상기 상부 표면 상에 상기 물질막을 선택적으로 증착시키는 단계;
    를 포함하는 선택적 증착 방법.
  12. 제 11 항에 있어서,
    상기 상부 표면으로부터 증착 억제층을 선택적으로 제거하는 단계와 상기 상부 표면 상에 상기 물질막을 선택적으로 증착시키는 단계는 동시에 수행되는 것을 특징으로 하는 선택적 증착 방법.
  13. 제 11 항에 있어서,
    상기 증착 억제층을 균일하게 형성하는 단계는:
    증착 억제제를 이온화시키는 단계; 및
    상기 기판 상에 이온화된 상기 증착 억제제를 공급하는 단계;
    를 포함하는 것을 특징으로 하는 선택적 증착 방법.
  14. 제 13 항에 있어서,
    상기 상부 표면 상에 상기 물질막을 선택적으로 증착시키는 단계는:
    상기 기판 상에 소스 물질을 공급하는 단계; 및
    상기 소스 물질을 공급하는 단계 이후에, 이온화된 제 1 반응 물질을 상기 기판 상에 공급하는 단계;
    를 포함하는 것을 특징으로 하는 선택적 증착 방법.
  15. 제 14 항에 있어서,
    상기 상부 표면으로부터 증착 억제층을 선택적으로 제거하는 단계는 상기 상부 표면 상에 상기 물질막을 선택적으로 증착시키는 단계의 이전에 수행되고,
    상기 상부 표면으로부터 증착 억제층을 선택적으로 제거하는 단계는:
    제 2 반응 물질을 상기 기판 상에 공급하는 단계;
    상기 제 2 반응 물질을 이온화하여 상기 기판 상에 실질적으로 수직 충돌하도록 플라즈마를 인가하는 단계; 및
    과량의 제 2 반응 물질을 퍼지하는 단계;
    을 포함하는 이온 포격 사이클을 1회 또는 복수회 반복하는 단계를 포함하는 것을 특징으로 하는 선택적 증착 방법.
  16. 제 15 항에 있어서,
    상기 제 1 반응 물질 및 상기 제 2 반응 물질은 각각 독립적으로 O2, O3, 플라스마 O2, 플라스마 O3, 수증기, 플라스마 H2O, NO, 플라스마 NO, N2O, 플라스마 N2O, NO2, 플라스마 NO2, 과산화수소, CO, 플라스마 CO, CO2, 플라스마 CO2, 질소(N2), 암모니아(NH3), 히드라진(N2H4), 디아젠(N2H2), 플라스마 N2, 플라스마 NH3, 플라스마 H2, 및 NF3로 구성되는 군으로부터 선택된 1종 이상을 포함하는 것을 특징으로 하는 선택적 증착 방법.
  17. 제 15 항에 있어서,
    상기 물질막은 실리콘 산화물 또는 실리콘 질화물이고, 상기 소스 물질은 실란(SiH4), 디실란(Si2H6), 트리실란(Si3H8), 모노클로로실란(SiClH3), 디클로로실란(SiCl2H2, DCS), 트리클로로실란(SiCl3H), 테트라클로로실란(SiCl4), 헥사클로로디실란(hexachlorodisilane, HCD), 디아이오도실란(SiH2I2, DIS), 트리아이오도실란(SiI3H, TIS), 헥사클로로디실란(Si2Cl6), 디에틸실란(Et2SiH2), 테트라에틸오쏘실리케이트(Si(OCH2CH3)4, TEOS), 디이소프로필아미노실란(H3Si(N(i-Pr)2)), 비스(터셔리-부틸아미노)실란((C4H9(H)N)2SiH2), 테트라키스(에틸아미노)실란(Si(NHEt)4), 테트라키스(디메틸아미노)실란(Si(NMe2)4), 테트라키스(에틸메틸아미노)실란(Si(NEtMe)4), 테트라키스(디에틸아미노)실란(Si(NEt2)4), 트리스(디메틸아미노)실란(HSi(NMe2)3), 트리스(에틸메틸아미노)실란(HSi(NEtMe)3), 트리스(디에틸아미노)실란(HSi(NEt2)3), 트리스(디메틸하이드라지노)실란(HSi(N(H)NMe2)3), 비스(디에틸아미노)실란(H2Si(NEt2)2), 비스(디이소프로필아미노)실란(H2Si(N(i-Pr)2)2), 트리스(이소프로필아미노)실란(HSi(N(i-Pr)2)3), 또는 (디이소프로필아미노)실란(H3Si(N(i-Pr)2), 트리실릴아민((SiH3)3N, TSA), 디실록산(disiloxane, DSO), 디실릴메틸아민((SiH3)2NMe, DSMA), 디실릴에틸아민((SiH3)2NEt, DSEA), 디실릴이소프로필아민((SiH3)2N(i-Pr), DSIPA), 디실릴-tert-부틸아민((SiH3)2N(tBu), DSTBA), 디에틸실릴아민(SiH3NEt2, DESA), 디-tert-부틸실릴아민(SiH3N(tBu)2, DTBSA), 비스(디에틸아미노)실란(SiH2(NEt2)2, BDEAS), 비스(디메틸아미노)실란(SiH2(NMe2)2, BDMAS), 비스(에틸메틸아미노)실란(SiH2[N(Et)(Me)]2, BEMAS), 비스(tert-부틸아미노)실란(SiH2(NHtBu)2, BTBAS), 디이소프로필실릴아민(SiH3N(i-Pr)2, DIPSA), 헥사키스(에틸아미노)디실란(Si2(NHEt)6), 및 비스(트리메틸실릴아미노)실란(SiH2(NHSiMe3)2, BITS)로 구성되는 군으로부터 선택된 1종 이상인 것을 특징으로 하는 선택적 증착 방법.
  18. 제 11 항에 있어서,
    상기 증착 억제층을 균일하게 형성하는 단계에서, 상기 반응 공간에 고주파 RF 파워 및 저주파 RF 파워가 동시에 공급되는 것을 특징으로 하는 선택적 증착 방법.
  19. 제 11 항에 있어서,
    상기 측벽에 비하여 상기 상부 표면으로부터 상기 증착 억제층을 선택적으로 제거하는 단계에서, 상기 반응 공간에 고주파 RF 파워가 공급되는 것을 특징으로 하는, 선택적 증착 방법.
KR1020220114389A 2021-09-15 2022-09-08 에어 갭 형성 방법 및 선택적 증착 방법 KR20230040902A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202163244481P 2021-09-15 2021-09-15
US63/244,481 2021-09-15

Publications (1)

Publication Number Publication Date
KR20230040902A true KR20230040902A (ko) 2023-03-23

Family

ID=85482531

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020220114389A KR20230040902A (ko) 2021-09-15 2022-09-08 에어 갭 형성 방법 및 선택적 증착 방법

Country Status (4)

Country Link
US (1) US20230089397A1 (ko)
KR (1) KR20230040902A (ko)
CN (1) CN115807217A (ko)
TW (1) TW202336258A (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230223248A1 (en) * 2022-01-11 2023-07-13 Sandisk Technologies Llc Methods and apparatuses for forming semiconductor devices containing tungsten layers using a tungsten growth suppressant
US20230223266A1 (en) * 2022-01-11 2023-07-13 Sandisk Technologies Llc Methods and apparatuses for forming semiconductor devices containing tungsten layers using a tungsten growth suppressant

Also Published As

Publication number Publication date
CN115807217A (zh) 2023-03-17
US20230089397A1 (en) 2023-03-23
TW202336258A (zh) 2023-09-16

Similar Documents

Publication Publication Date Title
US10580645B2 (en) Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
US11261523B2 (en) Method of depositing silicon oxide films
US7109129B1 (en) Optimal operation of conformal silica deposition reactors
JP5815669B2 (ja) アミノ金属前駆体とハロゲン化金属前駆体との化合を使用する金属窒化物含有膜堆積
KR20230040902A (ko) 에어 갭 형성 방법 및 선택적 증착 방법
US7135418B1 (en) Optimal operation of conformal silica deposition reactors
KR20080050510A (ko) 배치 ald 반응기에 대한 처리 공정
KR20060091240A (ko) 순환 증착을 통한 금속 규소 질화물 필름의 제조
EP1125324A1 (en) Radical-assisted sequential cvd
US20220005693A1 (en) Silicon nitride and silicon oxide deposition methods using fluorine inhibitor
US11814728B2 (en) Method for filling a gap in a three-dimensional structure on a semiconductor substrate
KR20220045900A (ko) 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치
TW202030195A (zh) 化合物、氣相沉積前驅物及製備薄膜的方法
KR100653705B1 (ko) 원자층증착법을 이용한 박막 형성방법
KR100467369B1 (ko) 수소배리어막 및 그를 구비한 반도체장치의 제조 방법
WO2013090662A1 (en) Film deposition using tantalum precursors
US20230142899A1 (en) Thin-film deposition method and system
US20230084552A1 (en) Topology-selective nitride deposition method and structure formed using same
US20220319832A1 (en) Method and system for depositing silicon nitride with intermediate treatment process
TW202343724A (zh) 形成電容器電極的方法
TW202303687A (zh) 基板處理方法
KR20230093782A (ko) 반도체 소자의 갭필 방법
KR20220041751A (ko) 반도체 처리 방법
KR20050028751A (ko) 실릴아민을 이용한 박막 형성방법