KR20070104444A - 전체적 최적화에 기초한 무마스크 리소그래피래스터라이제이션 기술을 위한 방법 및 시스템 - Google Patents

전체적 최적화에 기초한 무마스크 리소그래피래스터라이제이션 기술을 위한 방법 및 시스템 Download PDF

Info

Publication number
KR20070104444A
KR20070104444A KR1020077019598A KR20077019598A KR20070104444A KR 20070104444 A KR20070104444 A KR 20070104444A KR 1020077019598 A KR1020077019598 A KR 1020077019598A KR 20077019598 A KR20077019598 A KR 20077019598A KR 20070104444 A KR20070104444 A KR 20070104444A
Authority
KR
South Korea
Prior art keywords
pixels
slm
pupil
pixel
diffraction orders
Prior art date
Application number
KR1020077019598A
Other languages
English (en)
Inventor
아자트 라티포브
셔먼 폴트니
웬체슬라오 세부하르
Original Assignee
에이에스엠엘 홀딩 엔.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 홀딩 엔.브이. filed Critical 에이에스엠엘 홀딩 엔.브이.
Publication of KR20070104444A publication Critical patent/KR20070104444A/ko

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41CPROCESSES FOR THE MANUFACTURE OR REPRODUCTION OF PRINTING SURFACES
    • B41C1/00Forme preparation
    • B41C1/10Forme preparation for lithographic printing; Master sheets for transferring a lithographic image to the forme
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70283Mask effects on the imaging process
    • G03F7/70291Addressable masks, e.g. spatial light modulators [SLMs], digital micro-mirror devices [DMDs] or liquid crystal display [LCD] patterning devices
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70283Mask effects on the imaging process
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70508Data handling in all parts of the microlithographic apparatus, e.g. handling pattern data for addressable masks or data transfer to or from different components within the exposure apparatus

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

원하는 패턴을 프린트하기 위해 구성된 리소그래피 시스템에서 공간 광 변조기(SLM) 픽셀들의 상태들을 결정하기 위한 방법 및 시스템이 제공된다. 이 방법은 리소그래피 시스템에 의해 프린트될 패턴의 이상적인 마스크와 관련된 회절 차수들을 결정하는 단계, 및 이어서 이미지 형성과 관련있는 모든 회절 차수들을 매치시키도록 SLM 픽셀들의 상태들을 구성하는 단계를 포함한다.

Description

전체적 최적화에 기초한 무마스크 리소그래피 래스터라이제이션 기술을 위한 방법 및 시스템{METHOD AND SYSTEM FOR A MASKLESS LITHOGRAPHY RASTERIZATION TECHNIQUE BASED ON GLOBAL OPTIMIZATION}
본 발명은 일반적으로 무마스크 리소그래피(maskless lithography; MLL) 및 광학 무마스크 리소그래피(OML)의 분야에 관한 것이다.
OML은 통상적인(즉, 마스크-기초한) 포토리소그래피의 연장이다. 그러나, OML에서, 포토마스크를 사용하는 대신에, MEMS(micro-electro-mechanical system) 소자 상의 수천만의 마이크로-거울 픽셀들이 실시간 동적으로 구동되어 원하는 패턴을 생성한다. 픽셀들에 의해 부과된 고정 그리드 및 원자외선(deep ultra-violet(DUV)) 파장에서의 짧은-펄스 지속시간 엑시머 레이저의 사용으로 인해, 그레이 스케일(gray scale)의 공간 변조(spatial modulation)가 요구된다. 따라서, 이런 부류의 MEMS 소자는 공간 광 변조기(SLM)로 알려져 있다.
SLM은 이미지들을 만들기 위한 몇가지 기하학적 액추에이션 형태들(예를 들어, 기울기, 피스톤 등) 중 하나를 이용할 수 있다. 통상적인-마스크-기초한 포토리소그래피 스캐너들과 동일한 파장들 및 레지스트들을 사용함으로써, OML은 존재하는 라인 설비들과 직접적으로 적합성이고, 동일한 트랙 및 에칭 장비를 갖는 존 재하는 가공 설비들에 통합될 수 있다. OML은 칩 수율 및 속도의 최적화를 용이하기 하기 위해 더 적은 시간 내에 보다 많은 디자인물들(design turns)을 제공한다.
OML 및 전통적인 마스크-기초한 리소그래피 간의 한가지 중요한 개념적 차이는 OML에 사용된 SLM 및 전통적인 마스크 간의 차이에서 유래한다. SLM는 많은 픽셀들로 구성되며, 각 픽셀은 제어가능한 방식으로 이의 광학 특성들을 변화시키는 능력을 갖는다. 전형적으로, 각 픽셀은 크기 면에서 서브-레졸루션(sub-resolution)이며 많은 가능한 상태들 중 하나를 취할 수 있다. 일부 SLM 디자인들은 전통적인 마스크들의 디자인에 사용되는 원리들과 반드시 같지는 않은 광 변조의 물리적 원리를 이용한다. 예를 들어, 기울어진 거울 픽셀들은 광 편향도(degree of light deflection)를 변화시킴으로써 작동하며, 이는 전통적인 마스크의 단편(fragment)과 반드시 같지는 않다.
SLM 자체의 필요한 명세를 정의하는 것 이외에도, OML의 주 과제들 중 하나는 원하는 패턴을 재현하기 위해 SLM 픽셀들을 사용하는 가장 좋은 방법을 이해하는 것이다. 이러한 영역에서 중요한 과제들을 나타내는 래스터라이제이션(rasterization)은 패턴들을 재현하기 위해 SLM 픽셀들을 구성하는데 사용되는 한가지 기술이다. 보다 구체적으로, 마스크 패턴의 설명 또는 이미지의 원하는 특성들이 주어지면, 마스크 패턴이 얻어지거나 원하는 이미지에 가장 근사하게 가깝게 하는 SLM 픽셀들의 상태들을 결정하는 것은 쉬운 일이 아니다.
OML 래스터라이제이션에 대한 몇가지 접근법이 문헌에 기재되어 있다. 이러한 접근법의 하나는 이미지 최적화(image optimization)이다. 이미지 최적화는 원 하는 패턴을 최적으로 프린트하기 위해 픽셀 상태들의 반복을 수행함으로써 최적화 문제점을 해결하고자 시도하며 피처 근접 효과(feature proximity effect) 및 픽셀들 간의 광학 간섭을 고려한다. 개념적 수준에서, 이러한 접근법은 전통적인 마스크들을 위해 디자인된 광학 근사 효과 보정(optical proximity effect correction; OPC) 피처들에 이용된 많은 기술들을 따른다.
최적화의 목적하는 기능들이 공중 이미지(aerial image)의 견지에서 (또는 아마도 레지스트 내 이미지의 면에서도) 조직화될(formulated) 수 있으므로, 이미지 최적화 접근법은 프린트될 패턴의 원하는 특성들의 비교적 정확한 제어를 허용한다. 원칙적으로, 최적화를 달성하기 위해, 에지(edge)를 특정 위치에 위치시킬 수 있으며, 특정 임계값을 만족시키는 이 에지를 따르는 정상화된 세기 로그 경사(normalized intensity log slope; NILS)를 갖는다.
그러나, 이미지 최적화와 관련 있는 주 과제로서 비교적 높은 계산 비용(computational cost) - 픽셀 상태와 관련 있는 공중 이미지 및 이의 미분계수의 재-평가를 전형적으로 포함하는 각각의 반복(each iteration)이 있다.
오프 그리드 필터(OGF)로서 알려진 제 2의 통상적인 접근법은 래스터라이제이션되는 이상적인 마스크의 회절 필드에 가깝도록 SLM 픽셀들의 상태들을 선택함으로써 작업한다. OGF 접근법에서, 이러한 근사(approximation)는 국부적으로 수행된다. 즉, 하나 이상의 이러한 픽셀들 중 하나로 덮인 마스크의 단편에 의해 생성된 회절 필드에 가깝도록 수개의 이웃하는 픽셀들이 사용된다. 국부적인 근사는 이러한 이웃 픽셀들의 상태들(선택된 필터 스텐실에 대응하는 그리드 필터 계수)의 예비-계산(pre-computation)을 허용한다. OGF의 이점은 OGF가 비교적 빠르고, 예비-계산된 필터를 패턴의 주어진 설명에 적용함으로써 아마도 실시간으로 수행될 수 있다는 것이다. 그러나, OGF의 이점을 실현하기 위한 타협점(tradeoff)은 다음과 같다:
(i) 필터 스텐실은 필연적으로 제한된 수의 이웃하는 픽셀들을 포함하므로, 이러한 근사의 오차가 광학 레졸루션 길이(optical resolution length)에 비해 작은 픽셀 치수들에 대해 비교적 작게 만들어질 수 있다 할지라도, 필터된 픽셀 상태들에 의한 퓨필 필드(pupil field)의 매치(match)는 항상 근사일 것이다;
(ii) OGF 접근법의 많은 변형예에서, 픽셀들의 필터된 상태들은 일반적으로 SLM 픽셀 디자인에 의해 이들 상에 부과된 제약들(constraint)을 충족시키지 않는다. 한 선택사항(option)은 제약들을 충족시키기 위해 필요한 만큼 많은 이미지 세기를 감소시키는 스케일링 인자(scaling factor)를 도입하는 것이다. 그러나, 이러한 선택사항은 광 손실을 도입하고, 이는 일반적으로 바람직하지 못하다.
(iii) OGF 접근법의 많은 변형예들에서, 기초 요건은 변조된 픽셀이 그레이톤 스퀘어(graytone square)에 의해 잘 근사되어야 한다는 것이다. 이러한 근사 스퀘어의 그레이톤은 실수치화되어야 하고 스퀘어를 가로질러 일정해야 한다. 이러한 상태들의 제 1 상태는 피스톤 거울 픽셀들에 대해 유효하지 않으며, 제 2 상태는 틸팅 거울 픽셀들에 대해서만 근사된다.
OGF와 같은 통상적인 접근법과 관련 있는 한가지 문제는 광 손실의 문제이다. 즉, 래스터라이제이션하기 위해 이상적인 마스크 상에 패턴이 주어지면, 통상 적인 접근법은 이러한 패턴을 갖는 마스크의 공중 이미지의 편차를 재현하는 래스터라이제이션 해결책을 제공한다. 그러나, 많은 중요한 패턴들에 대해, 이러한 래스터라이제이션으로부터 얻어지는 이미지는 원래 패턴의 스케일되거나(scaled) 또는 희미해진(dimmed) 이미지이다. 리소그래피에 일반적인 많은 패턴들(예를 들어, 라인들/간격들 및 콘택트 홀들)에서, 광 손실의 작용들 하에서 생산된 이미지는 원래 마스크 상의 패턴으로부터 얻어지는 원래 이미지의 선량의 1/7만큼 작은 선량을 포함할 수 있다.
광손실의 문제의 해결책은 SLM 픽셀들의 변조 능력에 대한 특정한 가정에 기초한다. 즉, 이 해결책은, 투영 광학기(PO) 퓨필을 가로지르고 이미지 면에 있는 변조된 픽셀에 의해 생산된 필드가 실-수치화된 그레이톤으로 SLM의 스퀘어들을 그레이토닝함으로써 생산된 필드에 의해 잘 근사되는 것을 요구한다. 픽셀들이 충분히 덜-해상되는(under-resolved) 한, 이러한 가정은 많은 변조 원리들(틸팅 거울, 액정 디스플레이(LCD) 셀 등)에 대해 유지된다. 그러나, 이러한 가정들은 거울 픽셀들(단위 진폭(amplitude)을 갖는 복합-수치화된 그레이톤들)을 피스토닝(pistoning) 하기에 즉시 유효할 수는 없다. 이들은 또한, 서브-레졸루션 픽셀들의 치수들이 낮은 값들로부터 레졸루션 한계에 접근할 때 다른 변조 형태들(예를 들어, 틸팅 거울)의 경우에 상당히 실효성이 없다.
따라서, 다양한 변조 원리들을 사용하여 적용될 수 있는 SLM 픽셀들의 최소 광 손실을 갖는 정확한 래스터라이제이션을 제공하는 기술이 요구된다.
본 명세서에서 구체화되고 기재된 본 발명의 원리와 일치하여, 본 발명은 원하는 패턴을 프린트하도록 구성된 리소그래피 시스템에서 공간 광 변조기(SLM) 픽셀들의 상태들을 결정하기 위한 방법을 포함한다. 이 방법은 리소그래피 시스템에 의해 프린트될 패턴의 이상적인 마스크와 관련있는 회절 차수들을 결정하는 단계, 및 이어서 이미지 형성에 관련있는 모든 회절 차수들과 매치되도록 SLM 픽셀들의 상태들을 구성하는 단계를 포함한다.
배경으로서, OML에 프린트될 다이 패턴은 SLM에 의해 생성되며, 이는 콘트라스트 소자 역할을 한다. 각각의 SLM 픽셀은 독립적으로 액추에이션되어 이의 광학 특성들을 변화시킨다. 상이한 물리적 원리들이 광을 조절하기 위해 사용될 수 있다. 예를 들어, 픽셀의 진폭 투과율(amplitude transmittance)을 변화시키기 위해 액정 픽셀들이 사용될 수 있다. 틸팅 또는 피스토닝에 의해 액추에이션된 거울들은 이미지 면에 도달하는 각 픽셀로부터의 광의 양을 변화시키기 위해 사용될 수 있다.
광학 래스터라이제이션은, 프린트될 패턴의 설명(예를 들어, 그래픽 디자인 시스템(GDS II 마스크 파일))이 주어지면, 광학 이미지 면에서 패턴을 재현할 콘트라스트 소자 픽셀들의 상태들(예를 들어, 픽셀 투과율 또는 픽셀 마이크로-거울 기울기 또는 피스톤)을 계산하는(compute) 기술이다.
본 발명은 본 명세서에서 전체적 최적화 래스터라이제이션으로서 언급되는, 광학 래스터라이제이션 기술을 제공한다. 이 기술은 주어진 마스크에 의해 생성된 퓨필 필드를 매치시키는 단계, 및 콘트라스트 소자의 변조 원리에 의해 지시되는 제약들을 고려하는 단계를 포함한다.
보다 구체적으로, 본 발명의 전체적 최적화 기술은 원하는 회절 패턴과의 매치가 얻어지는 SLM 픽셀들의 상태들을 계산한다. 이 매치는 주어진 조명 모드에 대한 이미지의 형성에 참여하는 퓨필 공간의 일부분 내에서 달성된다. 특정한 합리적인 조건들 하에서, 이 매치는 충분히 정확하고, SLM으로부터의 부분적으로 간섭성인 이미지는 초점 안과 밖에서 마스크의 공중 이미지와 매치될 수 있다.
상기된 OGF 접근법과 달리, 본 발명의 전체적 최적화 기술은 SLM 픽셀들의 변조 능력들(modulation capabilities)에 대한 제약을 명백하게 설명한다(account for). 결과적으로, 광 손실이 제거되거나 크게 감소될 수 있다. 사실, 특정 패턴들 및 픽셀 기하구조들의 경우에 광 증가가 달성될 수 있다.
부가적으로, 적당한 자유도들이 SLM 상에서 이용가능하다면, 본 발명의 래스터라이제이션 기술은 일반적으로 엄청나게 비싸거나 심지어 물리적 포토마스크 상에 실시하기 불가능한 이상적이거나 거의-이상적인(near-ideal) 패턴의 재현에 유용할 수 있다. 본 발명은 통상적인 마스크-기초 리소그래피에 현재 가능하지 않은 새로운 부류의 적용예들을 가능하게 하는 능력을 OML에 제공한다.
상기된 바와 같이, 본 발명의 전체적 최적화 기술은 적당한 제약들을 받기 쉬운 SLM 픽셀들의 상태들을 찾아내고, 광 손실을 최소화하는 것에 기초한다. 제약들의 한 카테고리는 SLM 픽셀 디자인에 의해 부과된 변조 한계들이다 - 예를 들어, 틸팅 거울 픽셀들의 최대 가능한/이용된 기울기 각.
픽셀들의 상태들에 대한 또다른 중요한 제약은 (필요시) 스케일링 인자를 곱한, 연장된 퓨필 내 필드의 원하는 분포와 매치되거나 비슷해야 한다.
본 발명에 제공된 전체적 최적화 기술들은 얻어지는 OML 패턴들의 광손실을 감소시키거나 제거하기 위해 SLM 픽셀 제약들의 영향(impact)을 크게 감소시킨다.
본 발명의 또다른 특성 및 이점과 본 발명의 다양한 실시예들의 구조 및 작동은 첨부 도면들을 참조하여 이하에서 상세히 기재한다.
본 명세서에 통합되고 명세서의 일부를 구성하는 첨부 도면들은 본 발명의 일시예들을 설명하고, 상기된 일반적인 설명 및 이하의 실시예들의 상세한 설명과 함께 본 발명의 원리들을 설명하는 역할을 한다. 도면들에서:
도 1은 본 발명의 일 실시예에 따라 구성 및 배치된 무마스크 리소그래피 시스템의 블록 다이어그램을 도시하고;
도 2는 본 발명에 따른 1 차원 거울 그리드를 도시하고;
도 3은 본 발명에 따른 2 차원 거울 그리드를 도시하고;
도 4는 본 발명에 따른 이상적인 마스크에 의해 생성된 회절 차수 패턴을 도시하고;
도 5는 본 발명에 따른 보다 큰 최적화 문제들을 보다 작은 문제들로 감소시키는 것을 도시하고;
도 6은 에지의 위치 및 방위 모두에 대한 그리드 필터 계수의 의존성을 도시하고;
도 7은 본 발명의 한 측면에 따른 거울들을 피스토닝하는 위치 및 피치 대 광 손실 최소화의 그래프를 도시하고;
도 8은 본 발명의 일 실시예의 예시적 방법의 플로우 다이어그램이고;
도 9는 본 발명의 일부가 실행될 수 있는 예시적인 컴퓨터 시스템의 블록 다이어그램이다.
이하의 본 발명의 상세한 설명은 본 발명과 일치하는 예시적인 실시예들을 설명하는 첨부 도면들을 참조한다. 다른 실시예들도 가능하며, 본 발명의 정신 및 범위 내에서 실시예들이 변형 가능하다. 따라서, 이하의 상세한 설명은 본 발명을 제한하고자 하는 것이 아니다. 본 발명의 범위는 오히려 특허청구범위에 의해 정의된다.
본 명세서는 본 발명의 특징들을 포함하는 하나 이상의 실시예들을 개시한다. 개시된 실시예(들)은 단지 본 발명을 예시한다. 본 발명의 범위는 개시된 실시예(들)로 제한되지 않는다. 본 발명은 이하의 특허청구범위에 의해 정의된다.
기재된 실시예(들), 및 명세서 내에서의 "일 실시예", "실시예", "예시 실시예" 등에 대한 언급은, 개시된 실시예(들)가 특정 피처, 구조 또는 특성을 포함할 수 있다는 것을 나타내지만, 모든 실시예가 특정 피처, 구조 또는 특성을 반드시 포함하지 않을 수 있다. 또한, 특정 피처, 구조 또는 특성이 실시예와 관련하여 기재되는 경우에, 명백히 기재되어 있든 기재되어 있지 않든, 다른 실시예들과 관련하여 이러한 피처, 구조 또는 특성을 달성하는 것은 당업자의 지식 내에 있는 것으로 이해된다.
이하 기재된 바와 같이 본 발명이 하드웨어의 많은 상이한 실시예들 및/또는 도면들 내에 설명된 본체들에서 실시될 수 있다는 것은 당업자에게 명백할 것이다. 따라서, 본 명세서에 기재된 상세한 설명의 수준에서, 실시예들의 변형 및 변화가 가능하다는 것을 이해하면서 본 발명의 작업 및 행위가 기재될 것이다.
배경으로서, 도 1은 본 발명의 실시예에 따라 배치된 무마스크 리소그래피 시스템의 블록 다이어그램이다. 도 1에서, 무마스크 리소그래피 시스템(100)은 제어 시스템(102)을 포함한다. 제어 시스템(102)은 컴퓨터 프로세서, 메모리, 및 프린트된 패턴을 생산하도록 무마스크 리소그래피 시스템(100)을 지시하는 데이터를 사용자가 입력할 수 있도록 구성된 사용자 인터페이스를 포함한다.
제어 시스템(102)은 엑시머 레이저 또는 일부 다른 적합한 펄스화된 조명과 같은 광원으로부터의 광의 펄스들을 제공하는 펄스화된 광원(104)에 연결된다. 펄스화된 광원(104)은 펄스화된 광원(104)에 의해 생산된 광 빔에 원하는 수의 어퍼처를 생성하기 위해 일련의 렌즈들을 포함하는 전형적으로 왜상(anamorphic) 시스템인 빔 릴레이 시스템(106)에 연결된다. 빔 릴레이(106)로부터의 펄스화된 광 출력은 프로그래밍가능한 어레이(108) 상에 이미지된다.
프로그래밍가능한 어레이(108)는 원하는 리소그래피 패턴을 대표하는 이미지 패턴 데이터(110)를 수용하고, 이미지를 대표하는 광을 투영 광학기(PO)(109)에 반사하도록 구성된다. 패턴 데이터(110)는 또한 이 기술에서 마스크 레이아웃(mask layout) 데이터로 알려져 있다. 프로그래밍가능한 어레이(108)로부터 반사된 광은 PO(109)를 통과한 후 기판(112) 상에 이른다. PO의 기능은 (1) 기판 상에 대상물의 이미지를 형성하고, (2) 대상물의 치수들에 비해 이미지를 감소시킨다. 이어서, 이미지 데이터(110)를 대표하는 패턴이 웨이퍼 기판과 같은 기판(112)의 감광성 표면 상에 이미징되고, 이는 일정한 속도로 스캐닝된다. 당업자가 이해하는 바와 같이, 감광성 표면(112) 상에 투영될 이미지는 프로그래밍가능한 어레이(108)에 포함되고 제어 시스템(102)을 통해 사용자에 의해 변화될 수 있다.
본 발명은 상기 논의된 바와 같이, 리소그래피 시스템(100)과 같은 시스템 내 조명 모드 및 패턴에 따라, 광 손실을 실질적으로 감소시키거나 제거한다. 레졸루션 한계보다 충분히 작은 픽셀 치수들에 대해, 본 발명의 전체적 최적화 기술은 임의의 이상적인 마스크로부터의 퓨필 필드 편차의 매칭, 및 이에 따라 잔류 오차가 거의 없거나 전혀 없는 퓨필 필드의 재현을 용이하게 한다.
전체적 최적화의 비-선형 버전(non-linear version)은 그레이톤들에 의해 잘 근사될 수 없는 변조 원리들을 갖는 SLM들에 대해 래스터라이제이션을 허용한다. 전체적 최적화는 또한 너무 커서 그레이톤 근사가 충분히 정확할 수 없는 픽셀들의 처리를 허용한다.
언급되는 다른 방법, 전체적 최적화는 PO 퓨필 내 필드의 원하는 분포를 만들 모든 SLM 픽셀들의 상태들의 계산을 가능하게 한다. PO 퓨필의 원하는 필드는 SLM에 의해 에뮬레이션될 이상적인 마스크로부터의 필드 또는 원하는 특성을 갖는 이미지가 얻어지는 관례-디자인된 퓨필 필드일 수 있다. 공중 이미지에 영향을 주는 PO 퓨필의 일부분만이 고려될 필요가 있다.
공중 이미지에 실질적으로 영향을 주는 PO 퓨필의 영역은 필수적인 PO 퓨필 이라 불린다. 부분적 간섭성(PC) 조명에 대하여, 이 영역은 개구수(numerical aperture)(NA) 원을 갖는 연장된 소스의 콘볼루션(convolution)의 지지체(support)이다. 연장된 PO 퓨필은 필수적인 PO 퓨필을 포함하는 가장 작은 원형 퓨필이다. 필수적인 PO 퓨필(또는, 단순화로서 연장된 PO 퓨필) 내에 퓨필 필드를 매칭시키는 것은 이 연장된 소스에 대응하는, PC 조명 모드를 위해 일할 래스터라이제이션을 계산할 수 있도록 한다. 이러한 개념은 도 4를 참조하여 이하에서 보다 완전히 설명된다.
본 발명에서 실시되는 것과 같은 전체적 최적화는 픽셀의 변조된 상태에 대한 연장된 퓨필을 가로지르는 필드의 의존성을 설명하는 (일반적으로 비-선형) 기능을 이용할 수 있다. 비-선형 기능을 사용함에 대한 대안적 접근법은 선형 그레이톤 근사를 사용하는 것이다. 이는, 픽셀들이 작다면(덜-해상된다면) 실-치수화된 그레이톤들을 생산하는 SLM 픽셀들(예를 들어, 틸팅 거울들)에 대해 유효하다.
선형 그레이톤 근사가 유효하지 않을 때, 예를 들어 거울들을 피스토닝하는 경우, 얻어지는 그레이톤들에 대응하는 픽셀들의 상태들을 찾아내기 위한 한가지 해결책은 에뮬레이션을 사용하는 것이다. 일반적인 콘트라스트 소자들에 대하여, 본 발명의 특정 실시예가 에뮬레이션 오차를 최소화하기 위해 사용될 수 있다.
본 발명에 따르면, 에뮬레이션 오차가 다음 예시적인 방법으로 최소화될 수 있다. g가 (복합) 그레이톤들의 벡터이고, A가 그레이톤들의 복합-수치화된 분포를 필수적인(또는 연장된) 퓨필을 통한 필드의 값들로 매핑하는(map) 매트릭스라면, 변조 원리에 의해 결정된 제약을 받기 쉬운
∥A(f(p)-g)∥2를 최소화함으로써
픽셀 상태(p)들을 얻는다. 예: 거울들을 피스토닝하기 위해 f(p) = exp(i p) (p 위상으로서의 피스토닝 변위(pistoning displacement)).
상기 예시적인 기술에 대한 몇가지 변형예들이 조성될 수 있다. 이러한 변형예들은, 예를 들어 (a) 몇가지 픽셀들에 대해서만 0이 아닌 웨이팅 함수들을 놈(norm)에 곱합으로써 전체적 문제를 한 세트의 국부적 문제들로 감소시키는 것을 포함할 수 있다. 또다른 변형예(b)는 상기된 문제를 제약받지 않는 최적화 문제으로 변환시키는 것과 같은 방식으로 변수들을 변화시키는 것을 포함할 수 있다. 이는 (상기 (a)에서와 같이) 전체적으로 또는 국부적으로 행해질 수 있다. 마지막 변형예(c)는 그레이톤들의 전체적 최적화의 상기된 문제를 최적 g보다는 최적 p를 생산하도록 하는 문제에 결합시키는 것을 포함할 수 있다.
본 발명의 또다른 예시적인 실시예가 거울 소자들을 피스토닝하기 위해 에뮬레이션 오차를 최소화하기 위해 사용될 수 있다. 즉, 거울 소자들의 피스토닝에 대해, 최소화 ∥(f(p)-g)∥2에 상응하는 단순화된 식이 다소 근사된 정도로 풀릴 수 있다. 이의 구체 예(예를 들어, 1차원, 2차원 경우가 유사하다)는 인접 픽셀들 j 및 j+1의 각 쌍에 대해 방정식을 최소 자승(least-squares) 정도로 푸는 것이다.
(1/2)(exp(i pj) + exp(i pj +1)) = (1/2)(gj + gj +1)
이 예에서, 오른편은 두 인접 거울들에 대한 평균 그레이톤, 또는 원래의 두 개 "사이의" 픽셀의 근사 그레이톤을 나타낸다. 이 "이동된 그리드(shifted grid)" 에뮬레이션은 원래의 (그레이톤) 것들과 동일한 크기의 피스톤 거울들의 이용, 둘 이상의 피스톤 거울들을 사용하여 각 그레이톤이 실현되는 기술에 상당한 이점을 허용한다.
도 2는 상기 논의된 에뮬레이션 방법에 대한 일차원 변형예를 예시적으로 설명한다. 보다 구체적으로, 도 2는 실제 거울 그리드에 대한 그레이 톤들(202)을 포함하는 일차원 이동된 그리드(200)를 포함한다. 인접 픽셀들의 그레이톤들의 평균화 대신, 그레이톤들은 실제 거울 그리드로부터 1/2 픽셀 떨어져 이동되는 새로운 가상(virtual) 그리드(204)에 대해 재계산될(recomputed) 수 있다. 위상 각들(206)은 식 1에 따른 새로운 가상 그리드(204)에 따라 각 피스톤들을 구성하기 위해 계산될 수 있다.
도 3은 상기된 에뮬레이션 방법에 대한 2차원 변형예를 예시적으로 설명한다. 즉, 도 3은 네 인접 픽셀들을 사용하는 2차원 이동된 그리드(300)를 포함한다. 2차원 이동된 그리드(300)는 (양 방향으로 1/2 픽셀 이동된) 가상 그리드(302)를 포함한다. 피스톤들의 위상각들(304)은 다음의 식 2에 따르는 양 방향들로 주기적으로 연장된다.
Figure 112007062313972-PCT00001
또한, 보다 정확한 에뮬레이션이 이 때에 (1차원에서) 3 이상, 또는 (2차원 에서) 9 이상의 픽셀들을 사용하여 얻어질 수 있다. 마지막으로, 그레이톤들의 전체적인 최적화는 상기 이동된 그리드 근사를 사용하여 정확히 실시되기에 보다 쉬운 한 세트의 그레이톤들을 얻기 위해 변형될 수 있다.
상기 논의된 일반적인 콘트라스트 소자들 및 피스토닝 거울 소자들과 관련된 에뮬레이션 방법은 각 형태의 콘트라스트 소자에 대하여 보다 높은 수준의 리소그래피 성능을 제공할 수 있다. 이러한 두 에뮬레이션 기술들이 앞서 논의되었다고 할지라도, 본 발명은 이러한 특정 기술들로 제한되지 않는다. 다수의 다른 에뮬레이션 접근법이 본 발명의 범위 및 정신 내에서 가능하다.
상기된 바와 같이 전체적인 최적화 기술의 정확한 비-선형 버전이 사용된다면, 픽셀들의 변조 상태들은 MLL 툴 작동동안 이용되는 한계들(예를 들어, 틸팅 거울 픽셀의 최대 기울기 각)로 제약되어야 한다. 다른 한편으로 선형 그레이톤 근사가 사용되면, 그레이톤은 픽셀의 실제 변조 능력들에 의해 지시되는 한계들로 제약되어야 한다.
본 발명에 의해 수행되는 바와 같은 래스터라이제이션은 이상적 마스크에 의해 생성된 회절 패턴과 매치되거나, 원하는 특성들을 갖는 이미지가 얻어지는 알려진 회절 패턴과 매치되도록 SLM 픽셀들의 사용에 기초한다. 본 발명이 주로 밀집한(즉, 무한 주기화(infinitely periodized)) 패턴들의 래스터라이제이션을 다룬다 할지라도, 퓨필을 통해 연속적인 회절 필드 패턴들로 작업하거나, 충분히 큰 피치를 갖는 밀집한 패턴들을 고려함으로써, 임의의(arbitrary)(예를 들어, 고립된(isolated)) 피처들이 수용될 수도 있다.
프로그래밍가능한 어레이(108)은 SLM, 또는 몇가지 다른 적합한 마이크로-거울 어레이를 포함할 수 있다. 배경으로서, SLM은 다수의 개별적으로 제어된 픽셀들(이와 달리 SLM 요소들이라 칭함)로 구성된 어레이이다. 각 픽셀은 대상물 면의 필드가 변조될 수 있도록 제어가능한 방식으로 이의 광학 특성들을 변화시킬 수 있다. 전형적인 SLM은 직사각형 어레이로 배치된 정사각형 픽셀들을 가지며, 각 픽셀은 특정 범위로 이의 광학 특성들을 특징화하는 파라미터들 중 하나만을 변화시키는 능력(1-파라미터 국부 변조)을 갖는다.
예를 들어, 존재하는 SLM은 1 KHz 리프레시율(refresh rate)로 진행되고 2040×512 어레이로 배치된 16×16 mm2 틸팅 거울들을 갖는다. 상이한 SLM들에서 실시된 광 변조 원리는 투과율 변조(transmittance), 광 반사에 의한 변조, 위상 이동 변조, 탈-초점(de-focus) 변조, 및/또는 상기된 변조 형태들 몇가지의 조합으로서 분류될 수 있다.
도 4는 시스템(100) 내 마스크에 의해 생성된 회절 차수들(d.o.s.)의 위치들의 도시(400)이다. 상기 도시(400)는 퓨필의 형태 및 이것이 최대 조명 시그마(σmax)에만 좌우된다는 사실 모두에서 단순화된다. 보다 구체적으로, 개구수(NA)를 갖는 PO가 주어지면, (fx 2 + fy 2) < (NA/λ)2 로 정의된 원 내 회절 차수들만이 공중 이미지에 영향을 준다. 부분적으로 간섭성인 조명의 보다 일반적인 경우에 대해, PO의 필수적이거나 연장된 퓨필은 퓨필 좌표(fx, fy) 내 영역, 공중 이미지에 영향 을 주는 필드로서 정의될 수 있다.
필수적인 퓨필은 PO의 NA 및 특정 조명 모드(연장된 소스의 형태)에 좌우된다. 가장 일반적인 경우, 수학적 견지에서, 필수적인 퓨필은 NA 원의 특징적 함수들과 퓨필 좌표들(fx, fy)의 면에서 연장된 소스의 콘볼루션의 지지체이다. 예를 들어, 도 4에서, 공중 이미지에 영향을 주는 회절 차수들을 바운딩하는 이중 원 구분선(402)은 각 원의 반경이 (NA/λ)인 특정 쌍극자 조명 모드에 대응하는 필수적 퓨필이다. 보다 구체적으로, 주어진 조명 모드에 대한 공중 이미지에 영향을 주는 퓨필 내 회절 패턴의 일부분은 필수적 퓨필로서 알려져 있다. 이는 NA 원 및 소스의 콘볼루션의 지지체이다. 상기 예시는 쌍극자 소스에 대응한다.
도 4의 예에서, 원형부(404)는 연장된 퓨필로서 알려져 있고 다음과 같이 정의될 수 있다:
(fx 2 + fy 2) < [(1 + σmax)(NA/λ)]2
연장된 퓨필은 조명의 형태에 좌우되는 것이 아니라 최대 σ에만 좌우된다.
공중 이미지의 형성에 참여하는 모든 회절 차수들의 복합 진폭들 U(p)은 다음과 같이 주어진다:
U(p) = A(p)
여기서, p는 SLM 픽셀들의 상태들(예를 들어, 틸팅 거울들의 기울기, 피스토닝 거울들의 피스톤들 등)의 벡터이고, A(p)는 픽셀 변조 원리, 픽셀 치수/기하구조, 광의 파장, 조명 모드 및 PO의 NA에 좌우되는 소정의 함수이다.
특정 변조 원리들의 SLM들의 경우에 대하여, 픽셀은 균일한(일반적으로 복합-수치화된) 투과율/반사율(픽셀 그레이톤)을 갖는 대상물이다. 예로는 이들의 진폭 투과율을 변화시키는 LCD 픽셀들 또는 이들의 복합-수치화된 반사율의 위상을 변화시키는 피스토닝 거울 픽셀들이 포함된다. SLM 픽셀들에 사용된 일부 다른 변조 원리들의 경우, 단일 픽셀로부터의 회절 필드는 실제 픽셀의 치수들 및 균일한 복합-수치화된 투과율/반사율을 갖는 대상물로부터의 필드에 의해 잘 근사될 수 있다. 예를 들어, 틸팅 거울 SLM 픽셀들은 효과적인 그레이톤을 정의하는 복합 진폭이라고 칭해지는 양을 특징으로 한다. 그레이톤 근사가 적용가능한 경우들에 대해, 이러한 근사의 정확도는 픽셀의 치수가 λ/NA에 비해 감소함에 따라 증가한다.
설명을 위하여, 정수의 SLM 픽셀들을 샘플링하는 주기로 주기화된 이상적 마스크 패턴을 고려한다. 이러한 패턴으로, 이상적인 마스크 및 SLM의 그레이토닝 스퀘어로부터 공동-위치화된 d.o.s. 가 얻어진다. 공중 이미지의 형성에 참여하는 모든 d.o.s.의 복합 진폭들(complex amplitudes) U(p)은 다음과 같이 주어진다:
U(p) = A g,
여기서, g는 모든 SLM 픽셀들에 대응하는 그레이톤들로 구성된 벡터이다. 상 기된 그레이톤 근사의 골격에서, 필수적 퓨필 내부 회절 차수들의 복합 진폭들은 픽셀 그레이톤들(g)의 선형 함수이다. A는 픽셀 치수 및 기하구조, 광의 파장, 조명 모드 및 PO의 NA에 좌우되는 공지된 매트릭스이며, 각 픽셀의 그레이톤은 이의 상태 파라미터의 함수: gj = gj(pj)로서 표현될 수 있다. A는 이미지에 영향을 주는 d.o.s.에 대응하는 이산 푸리에 변환(discrete fourier transform; DFT) 매트릭스의 열(row)로 구성되며, 각 행(column)은 sinc(Lfx), sinc(Lfy)를 곱하고, L은 픽셀의 차원이다.
마스크 패턴의 설명, 예를 들어 공지된 진폭 및 위상 투과율(phase transmittance)의 배경 상에 그 자신의 진폭 및 위상 투과율을 각각 갖는 다각형의 연합(union of polygons)이 주어지면, 필수적 퓨필 내부 회절 차수들의 복합 진폭들(R)을 계산할 수 있다. 래스터라이제이션 문제를 해결하기 위해, 한 세트의 일반적으로 비-선형인 식을 만족시킬 필요가 있다:
A(p) = R
식 5를 만족시키는 픽셀 상태를 찾아내는 것에 기초한 SLM 래스터라이제이션 방법은 전체적 최적화라 불린다.
전체적 최적화 기술을 사용하여, 최초의 가정은 다각형의 연합으로서의 이상적 마스크의 설명을 포함하며, 각각이 공지된 진폭 및 위상 투과율의 배경 상의 이의 자신의 진폭 및 위상 투과율을 갖는다. 이러한 가정으로부터, 공중 이미지에 영 향을 주는 이상적 마스크 d.o.s. 의 복합 진폭(R)을 계산한다:
Ag = R
이어서, 식 7이 그레이톤을 결정하기 위해 풀린다.
고려할 부가적인 인자들은 방정식들의 수 대 미지수들의 수, g에 대한 제약들, 광 손실 문제, 및 계산 복잡성을 포함한다.
래스터라이제이션에서 일어나는 하나의 다른 문제는 이용가능한 자유도들의 수(즉, 이용가능한 픽셀들의 수)에 대한 만족시킬 상태들(식 6)의 수의 비율이다. 이용가능한 자유도들의 수가 상태들(식 6)의 수를 초과하면, 필수적 퓨필을 가로지르는 회절 필드 분포는 재현될 수 있고, SLM 부분적 간섭성 공중 이미지는 초점의 안과 밖에서 마스크의 이미지와 매치될 것이다. 이러한 조건 하에서, 충분히 정확한 그레이톤 근사를 허용하는 변조 원리들을 갖는 픽셀들에 대해, 매트릭스 A는 전-랭크(full-rank) 매트릭스인 것으로 증명될 수 있다. 일반적으로, 픽셀들 간의 무한한 수의 분포들이 발견될 수 있으며, 초점의 안과 밖 모두에서 주어진 마스크의 이미지와 매치되는 공중 이미지가 얻어진다.
식 7은 그레이톤들이 실제라는 가정으로 풀린다. 이러한 가정에 기초하여, 미지수들(Nu)의 수는 시뮬레이션 영역의 픽셀들의 수이다: Nu = NxNy.
식(Ne)의 수는 Re 및 Im 때문에 - 공중 이미지에 영향을 주는 d.o.s.의 수의 2배와 같다. 평가 목적으로, 필수적인 퓨필은 반경 NA(1 + σmax)/λ(σmax는 최대 조 명 시그마이다)의 원이고 시뮬레이션 도메인은 다음을 얻기 위해 Dx ×Dy 치수를 갖는다:
Ne = 2π(NA(1 + σmax)/λ)2/(1/DxDy))2
Ne/Nu = (L/(λ/NA))22π(1 + σmax)2
식 9에서, L은 이미지 면에서의 (즉, 광학 축소 후의) 스퀘어 픽셀의 치수이다. 용어 σmax는 퓨필 좌표 내 필수적 퓨필의 영역이 반경 NA(1 + σmax)/λ의 원의 영역과 같은 무-차원적 파라미터이다(non-dimensional parameter).
예를 들어, σmax는 원형 조명 모드를 위한 최대 조명 시그마이다. 시스템은 SLM 픽셀이 PO에 의해 충분히 덜-해상되는 한, 미결정된 상태로 남아있다(underdetermined)(Ne < Nu). 픽셀의 크기가 PO의 광학 레졸루션 한계 쪽으로 증가됨에 따라, 이용가능한 자유도의 수(Nu)는 시스템이 과도-제약받는(Ne > Nu) 점까지 떨어지고 정확한 해결책이 결정될 수 없다.
시스템은 SLM 픽셀이 PO에 의해 충분히 덜-해상되는 한 미결정된다(Ne < Nu). SLM 픽셀이 충분히 서브-레졸루션(sub-resolution)이면, SLM은 퓨필 내 필드의 원하는 분포를 재현하기에 위해 충분한 수의 자유도를 갖는다.
개별 SLM 픽셀들(Pj)의 상태들은 일반적으로 SLM의 디자인 및 이용에 의해 지시되는 특정 제약을 받기 쉽다. 예를 들어, 틸팅 거울 픽셀들의 기울기는 특정 범위 내에서 다양하다. 이러한 제약들은 식 9를 만족시키는 픽셀 상태들을 찾는 경우에 고려되어야 한다.
그레이톤 근사가 이용되고, 근사에 이용된 그레이톤들이 실-수치화되는 것으로 가정될 수 있는 경우, 이러한 제약들은 일반적으로 불균등 제약들(inequality constraints)로 감소한다:
g- ≤ gj ≤ g+
예로서, 틸팅 스퀘어 거울 픽셀의 특정 경우에, 그레이톤은 g = sinc(α/α0)로 주어지며 α는 틸팅각이고, 따라서 제약들은 g+ = 1이고 g-
Figure 112007062313972-PCT00002
min{sinc(x), 0 ≤ x ≤2} = -0.2172이다. g-의 근사는 피처가 PO 퓨필의 에지 쪽으로 이동함에 따라 떨어진다.
본 발명의 또다른 실시예, 그레이톤이
Figure 112007062313972-PCT00003
Figure 112007062313972-PCT00004
로 주어지는 1/4 파장 위상 단계를 갖는 틸팅 스퀘어 거울에서, 따라서 제약은 g- = -0.722 및 g= = 0.722이다.
틸팅 거울들의 그레이톤 근사를 사용하는 것은 그레이톤 근사 오차를 유발한다. 연구들에서 흥미있는 많은 경우에 이러한 오차가 작은 것으로 나타났다. 그레 이톤 근사 오차가 감소될 필요가 있는 경우, 퓨필의 중심의 필드의 값 뿐 아니라 (틸팅 방향의) 이의 경사를 퓨필 매칭에 포함시킴으로써 감소시킬 수 있다. 이러한 접근법은 방정식의 수를 두배로 하지만, 방정식의 시스템들은 여전히 충분히 덜-해상된 픽셀들에 대해 미결정된다.
다른 예시의 경우, 관심있는 경우
Figure 112007062313972-PCT00005
에 대한
Figure 112007062313972-PCT00006
픽셀들을 갖는 SLM의 단일 샷(single shot)을 래스터라이제이션하는 요건을 가정한다. (i) 불균등 제약 Ag=R 및 (ii) 미지수들 대 상기된 방정식들의 수를 사용하여,
Figure 112007062313972-PCT00007
, 즉
Figure 112007062313972-PCT00008
Figure 112007062313972-PCT00009
를 얻는다.
A는 그레이톤 어레이의 DFT의 견지에서 표현될 수 있다. 이는 매트릭스 생성물 Ag를 평가하기 위해 고속 푸리에 변환(FET) 또는 다른 유사한 기술들을 사용하는 것이 가능하도록 한다. 이 평가는, 예를 들어 통상적인 퍼스널 컴퓨터들(PCs) 안에 포함된 전형적인 이용가능한 무작위 액세스 메모리(RAM) 및 중앙 처리 단위(CPU)로 수행될 수 있다. 예를 들어, 그레이톤들의 4 메가 픽셀 어레이의 FFT를 계산하는 것은 RAM 메모리의 256 메가 바이트들(MB)을 갖는 500 메가 헤르쯔(MHz) 펜티엄 III PC 상에 수초 걸린다.
일반적으로, 전체적 최적화 기술들은 주기적 패턴을 나타내는 모든 그레이톤들의 동시 최적화를 요구한다. 일반적으로 다이 패턴이 주기적이지 않고, 상이한 피처들을 갖는 상이한 영역들과 OPC 및 RET 보조 피처들을 포함하므로, 전체 다이, 다이의 큰 피스들, 또는 단일 콘트라스트 소자 상의 적어도 모든 픽셀들(이미 수백만) 상의 최적화를 수행하도록 강요될 수 있다. 수백만의 변수들의 용매 최적화 문제들을 푸는 것은 가능하지 않다. 따라서, 보다 큰 최적화 문제들을 (수백만이 아닌 수천의 변수들의 수를 갖는) 한 세트의 보다 작은 최적화 문제들로 감소시킬 필요가 있다. 이는 본 발명에 의해 풀린다.
도 5는 더 큰 세트의 최적화 문제들을 더 작은 문제들로 감소시키기 위한 본 발명의 또다른 실시예를 설명한다. 도 5에서, 패턴(500)은 합리적으로 크기화된 패치들(502), 예를 들어 500 × 500 픽셀들로 나뉠 수 있다. 각 패치(302)는 부가적인 수의 픽셀들(304)로 연장되고 이어서 중심 패치를 방해하지 않도록 0 (또는 1/2) 그레이톤(506)으로 변화된다. 이러한 연장된 패치는 이제 주기화될 수 있고(308) 처리하기 쉬운 크기의 최적화를 통해 래스터라이제이션 문제가 해결된다. 도 5에 설명된 해결책은 원래의 패치 상의 픽셀들 상에서 (큰 정확도로) 유효할 것이다. 도 5의 예시적인 기술들은 전체적인 최적화 기술들이 가장 우수한 래스터라이제이션 해결책, 실행하기 더 쉽고 가능한 것을 제공할 수 있도록 한다.
2D 푸리에 분석, 이미지 처리등으로부터의 많은 윈도우잉(windowing) 기술들은 도 5의 접근법에 대한 대안을 제공할 수 있다. 그러나, 이러한 대안들은 포토리소그래피에 적용될 도 5의 프레이밍(framing) 접근법에 대한 필요성을 갖는다.
필수적인 퓨필 R 내 회절 차수들의 필드는 대상물 O(x,y)의 투과율 함수(transmittance function)의 푸리에 변환이다.
Figure 112007062313972-PCT00010
Figure 112007062313972-PCT00011
이고, 각 단편이 단일 SLM 픽셀로 덮인 대상물의 투과율 함수를 나타내도록 대상물을 단편들 Oj(x,y)로 분할한다. 스퀘어 SLM 픽셀들에 대해,
Figure 112007062313972-PCT00012
는 이 스퀘어 내부 마스크의 단편을 나타낼 것이다. 대상물의 이러한 분할에 대응하여, 퓨필 필드 R은 총계
Figure 112007062313972-PCT00013
로서 나타내어질 수 있으며, 여기서 각 용어 Rj는 대상물
Figure 112007062313972-PCT00014
의 각 단편의 푸리에 변환이다. 당업자들에게 주지된 많은 효과적인, 일반적인 삼각화(triangularization) 기술들 중 하나가 개별 대상물 구성요소
Figure 112007062313972-PCT00015
를 찾기 위해 사용될 수 있다.
다음 방정식을 만족시키는, 상기된 각 대상물 단편의 특정 해결책 g(j)을 찾아낼 수 있다.
Figure 112007062313972-PCT00016
이어서, 해결책은 특정 해결책들의 총합:
Figure 112007062313972-PCT00017
으로서 계산된다. 실질적으로, j 번째 픽셀에 인접한 단지 수개의 픽셀들을 포함하는 문제(식 11)의 불완전(truncated) 버전을 대략 풀 수 있다. 이러한 불완전 문제들의 해결책은 미리 계산될 수 있고 파라미터화된 그리드 필터 계수로서 저장될 수 있다. 이 때, 래스터라이제이션은 미리계산된 그리드 필터 계수를 적용함으로써 비교적 빠르게 계산될 수 있다.
충분히 작은 픽셀들에 대해, 피처의 에지를 샘플링하는 대부분의 픽셀들은 단일 에지의 직선 세그먼트를 샘플링할 것이다. 이러한 직선 세그먼트는 두 파라미 터들- 픽셀 내 이의 위치 및 이의 경사를 특징으로 한다. 그리드 필터 계수를 미리 계산하기 위해, 에지의 위치들 및 경사의 조합들의 충분히 큰 수들을 고려해야 한다.
도 6은 픽셀(404)의 에지의 위치 및 방위 모두에 대한 그리드 필터 계수들의 의존성의 설명(600)이다. 도 6은 중간 픽셀(604)의 클리어 영역(clear area)의 함수로서 9 픽셀들(602)에 대한 그리드 필터 계수들을 나타낸다. 그래프(606)는 픽셀(604)의 수직 에지의 설명을 제공한다. 그래프(608)는 픽셀(604)의 45 °에지의 설명을 제공한다.
도 6의 미리-계산된 필터 계수들을 사용한 한 중요한 결과는, 얻어지는 해결책(g)의 구성요소들이 제약들(식 10)을 반드시 충족시키지는 않는다는 것이다. 따라서, 해결책은 식 10에 의해 지시된 범위로 될 특정 인자에 의해 선택적으로 스케일된다. 해결책을 스케일할 필요성으로 인해, 얻어지는 래스터라이제이션은 상기된, 광 손실로서 알려진 희미해진 공중 이미지 - 현상을 재현한다. 몇가지 시험 패턴들 및 거울 기하구조 조합들에 대해, SLM 래스터라이제이션된 이미지들이 얻어지는 광은 원래 마스크의 선량의 단 13%를 포함하였다.
그레이톤들(g)에 대한 제약은 주어진 SLM들의 변조 능력에 의해 결정된다. 존재하는 SLM에 대해, 이런 제약들은 다음 식으로 나타낸다:
Figure 112007062313972-PCT00018
상기된 바와 같이, 픽셀들이 충분히 서브-해상(sub-resolved) 된다면, 방정 식 6의 시스템은 방정식들보다 더 많은 미지수들을 가지고, 따라서 다수의 해결책들을 인정할 수 있다. 그러나, 실제로, 상기 논의된 픽셀 변조 제약들로 인해 주어진 오른편 R의 모든 제약들을 만족시키는 해결책이 얻어질 수 없다. 그러나, 스케일된 오른편 γR, 0 < γ < 1에 대한 해결책을 찾으려 노력할 수 있다. 이러한 해결책이 존재하면, 이러한 해결책으로 인해 초점의 안과 밖에서 원래 마스크의 공중 이미지와 동일한 공중 이미지가 얻어질 것이지만, 인자 γ2 에 의해 스케일된다. 일례는 통상적인 OGF 접근법에 관해 이전에 논의된 광 손실 현상이다.
상당한 광 손실로 인해 노출 영역에 도달하는 선량이 감소하고, 따라서 대상물 상의 더 고도의 입사 선량 및/또는 노출 시간 증가가 요구되고 이에 따라 시스템 스루풋이 감소된다. 따라서, 원래의 포토마스크에 비해 최소 광 손실, 및 아마도 심지어 광 증가가 얻어지는 해결책을 선택하기 위해 서브-레졸루션 픽셀들과의 래스터라이제이션 문제에 대한 다수의 해결책들을 이용하는 것이 바람직하다.
이를 달성하기 위해, 미지수들(p, γ)에 대한 예시적 최적화 식을 풀 수 있다:
(i)
Figure 112007062313972-PCT00019
(ii) 상기 논의된 바와 같은, (p)에 대한 픽셀 변조 제약들,
(iii)
Figure 112007062313972-PCT00020
을 조건으로 하는 γ을 최대화.
예를 들어, 다음의 예시적인 식에서 실제 그레이톤들(g)를 찾아냄으로써 광손실이 최소화될 수 있다:
Figure 112007062313972-PCT00021
심지어 선형 방정식들의 상기 시스템이 미결정된다 해도, g에 대한 변조 제약으로 인해 해결책이 특정 일반 패턴들(오른편 R)에 대해 존재하지 않을 수 있다.
그럼에도 불구하고 감쇄된(attenuated) 패턴에 대해 해결책을 찾아낼 수 있다:
Figure 112007062313972-PCT00022
Figure 112007062313972-PCT00023
단, 0 < γ < 1이 감쇄 상수이다.
이러한 감쇄된 패턴을 노출시키기 위해, (1/γ)2 - 광 손실 문제에 비례적으로 선량을 증가시킬 필요가 있다. 예를 들어, 일부 통상적인 래스터라이제이션 기술들에 대해, 선량이 6-8까지의 인자로 증가될 필요가 있는 것으로 관찰되었다. 본 발명의 래스터라이제이션 기술은 이러한 차수의 광 손실들을 최소화한다.
상기된 것은 선형 프로그래밍가능한 문제가고 많은 효과적인 알고리즘이 이의 해결을 위해 존재한다. 각 픽셀의 상태를 일부 효과적인 그레이톤들(g)과 관련시키는 합리적으로 정확한 방법이 존재하는 한 - 이러한 접근법이 임의의 변조 원리들의 SLM들에 사용될 수 있다. 상이한 변조 원리들을 갖는 SLM들은 틸팅 거울 픽 셀들 및 스텝(step)을 구비한 틸팅 거울 픽셀들을 갖는 SLM들을 포함한다. 피스토닝 거울들은 덜 명백하지만(obvious), 이동된 그리드 또는 유사한 피스토닝 거울 모방 접근법을 통해 마찬가지로 수행될 수도 있다.
피스토닝의 경우, 비-선형 접근법이 사용될 수 있다. 비선형 피스톤 최적화를 사용하는 전체적인 최적화는 다음과 같도록 픽셀 거울들 p의 실-수치화된 피스톤들을 찾아내는 것을 수반한다.
Figure 112007062313972-PCT00024
여기서, exp(i p)는 구성요소-방식 거듭제곱(component-wise exponentiation)의 결과이다.
전체 피스톤 변조 범위([0, 2π])가 모든 픽셀들에 대해 이용가능한 한, p 상에 제약들을 부과할 필요가 없다는 것을 유념한다. 이 범위 외부 피스톤들은 이들의 수치들 모드(mod) 2π와 정확히 동등하다.
식 15의 상기 시스템은 바람직하게는 초기 평가로부터 출발하여 반복적으로 풀린다. 상기 고려된 경우들을 사용하는 실제 실험실 실시동안, 합리적인 초기 추측(기하구조적 그레이톤들로부터 평가된 피스톤들)에서 출발하여 반복이 비교적 빠르게(~ 반복) 적용되었다. 이러한 방법의 이점은, 이 방법이 그레이톤 유사 오차의 회피를 제공한다는 것이다.
제약된 최적화 문제의 제약되지 않은 문제로의 감소는:
제약들
Figure 112007062313972-PCT00025
을 충족시켜야 하는 g가 주어지면,
Figure 112007062313972-PCT00026
를 정의하고,
Ag = R은 A(c+dcos(p))=R가 되고, 이어서
Figure 112007062313972-PCT00027
이며, 이에 대해 비제약된 최적화법이 사용될 수 있다.
광 손실 최소화 기술들의 예가 이하 제공된다.
이러한 예들의 첫번째는 라인들 및 공간들의 패턴들에 기초한다. 파라미터들은 피치[135; 150; 195; 260; 715]* 나노미터들(nm)/M 및 라인폭[65; 68.68; 80.77; 104.65; 107.20]* nm/M를 포함한다. 라인들 및 공간들 패턴들은 0:1:14 nm(웨이퍼 스케일)에 의해 이동된다. 관련된 이미징 파라미터들은 다음과 같다:
λ=193.375*nm; (파장)
M=30/8000; (확대)
NA=0.93*M; (대상물-쪽 개구수)
조명: σmax = 0.89 (예를 들어, C-준성(C-quasar) 0.69<σ<0.89, 30 deg b.a.)
SLM 픽셀들: 피스토닝 또는 틸팅 거울들, L_x = 8마이크로미터(㎛)[30 나노미터(nm) 웨이퍼 스케일]. 이 예에서, 본 발명의 전체적 최적화 기술은 6% Att 위상 이동 마스크(phase shifting mask; PSM) 에뮬레이팅 시의 광 손실을 최소화하기 위해 사용된다.
도 7은 제 1 예시를 지지하여 광 손실 대 피스토닝 미러들의 위치 및 피치 최소화의 그래픽도(700)이다. 도 7에 도시된 바와 같이, 본 발명의 사용을 통해 생성된 최소화 광 손실 인자는 패턴의 위치의 함수로서 (상기 논의된 통상적인 기술들로부터 얻어지는 광 손실 인자에 비해) 최소로 변화된다. 도 7에서, 피스토닝 거울들을 사용하여, 피치가 ~200 nm 보다 크지 않다면 전체적인 광 증가(손실 아님)가 있다.
상기된 바와 같이, FFT 분해는 전체적인 최적화 래스터라이제이션의 성능을 증진시키기 위해 사용될 수 있는 한가지 다른 기술이다. 예를 들어, 방정식 7에서, A는 매트릭스(F)(픽셀 그레이톤들의 개별 FT) 및 사선 매트릭스(A_ 매트릭스)의 선택된 열들로 구성된 직사각형 매트릭스(K)의 견지에서 표시될 수 있다:
A = KF
방정식 16의 접근법의 한가지 장점은, FFT가 A_매트릭스의 저장 없이 O(N ln(N)) 시간에 Ag를 계산하기 위해 사용될 수 있다는 것이다. 또다른 장점은, 방정식 7의 최소화 놈 해결책이 매트릭스 A의 저장 없이 O(N ln(N)) 시간에 FFT를 사용하여 계산될 수도 있다는 것이다:
g_min_norm = FT KT (KKT)-1 R
Ag 및 g_min_norm을 계산하기 위한 상기된 FFT-계 기술들은 (i) 변조의 비 선형성(non-linearity), (ii) 변조 파라미터들에 대한 제약 및 (iii) 광 손실 최소화를 설명하기 위해 사용된 반복적 방법들에 대한 빌딩 블록들 역할을 한다.
최소화 놈 (비제약된) 래스터라이제이션법은 실행 저장 및 속도 상의 A_ 매트릭스 한계들을 회피하도록 (g)를 얻기 위해 A_매트릭스를 사용하여 재-표시될 수 있다. 예를 들어, 단기간의 공중 이미지 테스팅(AIT)에 대하여, FFT 그레이톤 래스터라이제이션법이 비교적 빠른(0.3초) 대형 SLM 어레이들(256 x 256 이상)에 사용될 수 있으며, 메모리 사용을 최소화한다. 또한, 비제약된 피스톤 반복들이 피스톤들(p)에 대해 유사한 결과들을 달성하기 위해 A_매트릭스를 사용함으로써 재-표시될 수 있다. 피스톤 래스터라이제이션 결과에 기초하여, FFT 스크립트가 A_매트릭스의 명백한 사용 없이 제약된 그레이톤 반복 래스터라이제이션에 사용될 수 있다.
일반적으로, 본 발명의 전체적인 최적화 기술들은 픽셀 거울들 및 공지된 SLM 픽셀 결함들(imperfections) 간의 공지된 갭들에 대한 보상을 허용한다. 결함들의 예들은 표면 곡률, 픽셀 거울을 가로지르는 반사율의 변차, 픽셀 거울 이외의 SLM의 일부분들(갭들, 포스트들(posts) 등)로부터 반사된 광을 반영하고 있다.
본 발명의 설명하는 전체적 최적화 기술은 퓨필 내 필드와 매치되므로, 공지된 PO 탈선(aberration)을 보상하기 위해 사용될 수 있다. 예를 들어, 간섭성 광 및 필드 의존성 탈선의 경우에 대해, PO가 웨이브 프런트 탈선(wave front aberration) W(fx,fy)을 가지고 래스터라이제이션 알고리즘의 목적이 퓨필 U(fx,fy) 내 필드와 매치시키는 것이라고 가정한다. 전체적 최적화 알고리즘이
Figure 112007062313972-PCT00028
와 동등한 매치로 퓨필 필드에 주어지면, 얻어지는 래스터라이제이션은 이러한 PO 탈선을 보상할 것이다.
본 발명은 결함 픽셀들을 보상하기 위해 사용될 수 있다. 예를 들어, 소수의 결함 픽셀들이 존재한다면, 남아있는(작동) 픽셀들은 여전히 원하는 퓨필 필드에 매치되기에 적당할 것이다. 그 결과는 결함 픽셀들의 보상이다. 결함 픽셀이 패턴의 에지 상에 있다 하더라도, 결함 픽셀들은 일반적으로 CD에 영향을 주지 않는다.
도 8은 본 발명의 일 실시예의 예시적인 방법(800)의 플로우 다이어그램이다. 도 8에서, 래스터라이제이션 및 분해를 요구하는 원래의 마스크 패턴이 단계 (802) 에서 제공된다. 이러한 패턴이, 예를 들어 도 1의 제어 시스템(102) 내 웨이퍼 라이터(wafer writer)에 제공될 수 있다. 이러한 패턴들은 전형적으로 다각형들의 연합을 포함하며, 아마도 위상 및 그레이톤은 다각형에서 다각형 및 배경까지 변화된다. 패턴은 기본 대상물들(예를 들어, 직사각형 및 삼각형)로 래스터라이제이션되고 분해된다. 다음으로, 단계(804)에서, 모든 기본 대상물들에 대응하는 퓨필 필드 회절 차수들이 생성된다. SLM의 모든 픽셀들에 대한 그레이톤들은 단계(806)에서 필수적이거나 연장된 퓨필 내 회절 차수들을 최적으로 근사하도록 위치된다. 이는 잘 공지된 복합-수치 기술들(complex-value techniques)의 실시에 의해 달성될 수 있다. 단계 (808)에서, 각 픽셀의 상태(예를 들어, 이의 거울의 기울기 또는 전압)는 이 픽셀에 할당된 그레이톤을 에뮬레이션하거나 모방하기 위해 선택된다.
상기 언급된 바와 같이, 본 발명은 하드웨어에서, 또는 소프트웨어 및 하드웨어의 조합으로서 실시될 수 있다. 결과적으로, 본 발명은 컴퓨터 시스템 또는 다른 처리 시스템의 환경에서 실시될 수 있다. 예를 들어, 제어 시스템(102), 또는 이의 일부분이 컴퓨터 시스템에 실시될 수 있다. 이러한 컴퓨터 시스템(900)의 일례가 도 9에 도시된다.
도 9에서, 컴퓨터 시스템(900)은 프로세서(904)와 같은 하나 이상의 프로세서들을 포함한다. 프로세서(904)는 특정 목적 또는 일반적 목적 디지털 시그널 프로세서일 수 있다. 프로세서(904)는 통신 인프라구조(906)(예를 들어, 버스 또는 네트워크)에 연결된다. 다양한 소프트웨어 실시가 이러한 예시적 컴퓨터 시스템의 견지에서 설명된다. 이 설명을 읽은 후, 본 발명을 다른 컴퓨터 시스템 및/또는 컴퓨터 구조물을 사용하여 실시하는 방법은 당업자에게 명백할 것이다.
컴퓨터 시스템(900)은 또한 주 메모리(908), 바람직하게는 RAM을 포함하고, 2차 메모리(910)를 포함할 수도 있다. 2차 메모리(910)는, 예를 들어 하드 디스크 드라이브(912) 및/또는 플로피 디스크 드라이브, 자기 테이프 드라이브, 광학 디스크 드라이브들을 나타내는 제거가능한 저장 드라이브(914)를 포함할 수 있다. 제거가능한 저장 드라이브(914)는 주지된 방식으로 제거가능한 저장 단위(918)로부터 읽거나 이에 쓴다. 제거가능한 저장 단위(918)는 제거가능한 저장 드라이브(914)에 의해 읽히거나 이에 쓰여지는 플로피 디스크, 자기 테이프, 광학 디스크 등을 나타낸다. 이해되는 대로, 제거가능한 저장 단위(918)는 내부 저장된 컴퓨터 소프트웨어 및/또는 데이터를 갖는 컴퓨터 사용가능한 저장 매체를 포함한다.
대체 실시에서, 2차 메모리(910)는 컴퓨터 시스템(900)에 컴퓨터 프로그램들 또는 다른 명령어들(instructions)이 로딩되도록 하는 다른 유사한 수단들을 포함할 수 있다. 이러한 수단들은, 예를 들어 제거가능한 저장 단위(922) 및 인터페이 스(920)를 포함할 수 있다. 이러한 수단들의 예에는 (비디오 게임 디바이스들에서 발견되는 것과 같은) 프로그램 카트리지 및 카트리지 인터페이스, (EPROM 또는 PROM과 같은) 제거가능한 메모리 칩 및 관련된 소켓(socket), 및 소프트웨어 및 데이터가 제거가능한 저장 단위(922)로부터 컴퓨터 시스템(900)으로 변환되도록 허용하는 다른 제거가능한 저장 단위들(922) 및 인터페이스들(920)이 포함될 수 있다.
컴퓨터 시스템(900)은 통신 인터페이스(924)를 포함할 수도 있다. 통신 인터페이스(924)는 소프트웨어 및 데이터가 컴퓨터 시스템(900) 및 외부 소자 간에 전달되는 것을 허용한다. 통신 인터페이스(924)의 예에는 모뎀, (이더넷(Ethernet) 카드와 같은) 네트워크 인터페이스, 통신 포트, PCMCIA 슬롯 및 카드 등이 포함된다. 통신 인터페이스(924)를 통해 전달된 데이터 및 소프트웨어는 전지, 자기가 될 수 있는 시그널들(928); 통신 인터페이스(924)에 의해 수용될 수 있는 광학 또는 다른 시그널들의 형태이다. 이러한 시그널들(928)은 통신 경로(926)을 통해 통신 인터페이스(924)에 제공된다. 통신 경로(926)는 시그널들(928)을 운반하고 와이어 또는 케이블, 섬유 광학기, 폰 라인(phone line), 휴대용 전화 링크(cellular phone link), RF 링크(RF link) 및 다른 통신 채널들을 사용하여 실행될 수 있다.
본 출원에서, "컴퓨터 판독가능(읽을 수 있는) 매체" 및 "컴퓨터 사용가능 매체"라는 용어는 제거가능한 저장 드라이브(914), 하드 디스크 드라이브(912)에 설치된 하드 디스크, 시그널들(928)과 같은 매체들을 일반적으로 나타내기 위해 사용된다. 이러한 컴퓨터 프로그램 제품들은 컴퓨터 시스템(900)에 소프트웨어를 제공하는 수단이다.
컴퓨터 프로그램들(또한 컴퓨터 제어 로직(logic)이라 함)은 주 메모리(908) 및 2차 메모리(910)에 저장된다. 컴퓨터 프로그램들은 또한 통신 인터페이스(924)를 통해 수용될 수 있다. 이러한 컴퓨터 프로그램들은, 실행시, 컴퓨터 시스템(900)이 본 명세서에 논의된 바와 같은 본 발명을 실시하도록 한다.
특히, 컴퓨터 프로그램들은, 실행시에 프로세서(904)가 본 발명의 처리를 실시하도록 한다. 따라서, 이러한 컴퓨터 프로그램은 컴퓨터 시스템(900)의 제어기들을 나타낸다. 예로서, 본 발명의 실시예들에서, 인코더들(encoders) 및/또는 디코더들(decoders)의 신호 처리 블록들에 의해 수행된 처리들/방법들인 컴퓨터 제어 로직에 의해 수행될 수 있다. 본 발명이 소프트웨어를 사용하여 실시되는 경우, 소프트웨어는 컴퓨터 프로그램 제품에 저장되고, 제거가능한 저장 드라이브(914), 하드 디스크(912) 또는 통신 인터페이스(924)를 사용하여 컴퓨터 시스템(900)에 로딩될 수 있다.
결론
본 발명은 주어진 마스크에 의해 생성된 퓨필 필드와 매치되는 독특한 광학 래스터라이제이션 접근법을 제공한다. 이 접근법은 콘트라스트 소자의 변조 원리에 의해 지시되는 제약을 고려한다. 따라서, 본 발명을 사용함으로써, 보다 정확한 래스터라이제이션이 SLM 픽셀들의 최소화된 광손실과 함께 실시될 수 있다.
SLM 형태 및 최적화 방법의 가능한 조합에 대하여, 본 발명은 상기 논의된 매트릭스 A를 사용하는 버전과 FFT를 사용하는 버전을 포함한다. FFT를 사용하는 버전은 (선형 방법에서) 직접 또는 (비선형 방법의 각각의 반복에서) 간접 적용된 다.
본 발명은 또한 전체적 최적화 기술들의 계산 성능의 문제에 집중하며, 매우 큰 스케일(한번에 수백만 거울들) 상에서 래스터라이제이션을 수행하는 효과적인 방법을 제공한다. 예를 들어, 본 발명의 많은 특정한 전체적 최적화 기술들은 계산 시간 및 메모리 요건들을 크게 개선하고, 궁극적으로 비용을 감소시킨다.
본 명세서에 나타낸 에뮬레이션 방법과 같은 본 발명의 다른 측면들은 그레이톤들을 얻기 위한 전체적 최적화 방법과 조합될 수 있다. 이러한 조합된 기술들은 많은 상이한 형태들의 콘트라스트 소자들에 대해 높은 수준의 리소그래피 성능들을 제공할 수 있다.
본 발명은 특정 기능들의 성능 및 이의 관계들을 설명하는 기능적 빌딩 블록들의 도움으로 앞서 기재되었다. 이러한 기능적 빌딩 블록들의 경계들은 설명의 편의를 위해 본 명세서에서 임의로 정의되었다. 특정 기능들 및 이의 관계들이 적당히 수행되는 한 대안적 경계들이 정의될 수 있다.
따라서, 이러한 대안적 경계들이 청구된 본 발명의 범위 및 정신 내에 있다. 따라서, 본 발명의 폭 및 범위는 상기된 예시적인 실시예들 중 어느 것에 의해 제한되지 않아야 하며, 다음 특허청구범위 및 이의 등가물에 따라서만 정의되어야 한다.
특정 실시예들의 상기된 설명들은 본 발명의 일반 성질을 완전히 나타내어, 이 기술분야의 기술(본 명세서에 기재된 참조문헌의 내용들을 포함)에 지식을 적용함으로써, 본 발명의 일반 개념에서 벗어나지 않고 과도한 실험 없이, 이러한 특정 실시예들과 같은 다양한 적용예들에 쉽게 변경 및/또는 적합화 가능하다. 따라서, 이러한 적합화 및 변경은 본 명세서에 기재된 교시 및 안내에 기초한, 개시된 실시예들의 등가물의 범위 및 의미 내에 있는 것으로 의도된다. 본 명세서의 표현 또는 용어는 설명의 목적이며 제한하려는 것이 아니며, 본 명세서의 용어 또는 표현은 당업자의 지식과 조합하여 본 명세서에 기재된 교시 및 안내의 견지에서 당업자에게 해석됨을 이해해야 한다.
상세한 설명 부분은 특허청구범위를 해석하기 위해 주로 이용되어야 한다. 요약 및 요약서 부분은 본 발명자(들)가 생각하는 본 발명의 모든 예시적인 실시예들이 아닌 하나 이상의 실시예들을 설명할 수 있으며, 따라서 특허청구범위를 제한하도록 의도되지 않는다.

Claims (22)

  1. 원하는 패턴을 프린트하도록 구성된 리소그래피 시스템에서 공간 광 변조기(SLM) 픽셀들의 상태를 결정하는 방법에 있어서,
    상기 리소그래피 시스템에 의해 프린트될 패턴의 이상적 마스크와 관련있는 회절 차수들을 결정하는 단계; 및
    상기 원하는 패턴과 관련된 결정된 회절 차수들과 매치되도록 상기 SLM 픽셀들의 상태들을 구성하는 단계를 포함하는 공간 광 변조기(SLM) 픽셀들의 상태를 결정하는 방법.
  2. 제 1 항에 있어서,
    상기 회절 차수들은 SLM 픽셀들과 관련된 특성들을 대표하는 것을 특징으로하는 공간 광 변조기(SLM) 픽셀들의 상태를 결정하는 방법.
  3. 제 1 항에 있어서,
    상기 픽셀 상태들은 상기 원하는 회절 패턴들과 실질적으로 매치되도록 구성되는 것을 특징으로 하는 공간 광 변조기(SLM) 픽셀들의 상태를 결정하는 방법.
  4. 제 3 항에 있어서,
    상기 매치는 상기 리소그래피 시스템의 연장된 투영 광학기(PO) 내에서 일어 나는 것을 특징으로 하는 공간 광 변조기(SLM) 픽셀들의 상태를 결정하는 방법.
  5. 제 4 항에 있어서,
    상기 결정 단계는 PO 내 퓨필 필드를 나타내는 비-선형 표시를 포함하는 것을 특징으로 하는 공간 광 변조기(SLM) 픽셀들의 상태를 결정하는 방법.
  6. 제 4 항에 있어서,
    상기 결정 단계는 선형 그레이톤 근사를 포함하는 것을 특징으로 하는 공간 광 변조기(SLM) 픽셀들의 상태를 결정하는 방법.
  7. 제 6 항에 있어서,
    상기 그레이톤 근사는 픽셀 상태 파라미터들의 함수인 것을 특징으로 하는 공간 광 변조기(SLM) 픽셀들의 상태를 결정하는 방법.
  8. 제 1 항에 있어서,
    상기 구성 단계는 SLM의 변조 특성들과 관련 있는 제약을 보상하는 것을 특징으로 하는 공간 광 변조기(SLM) 픽셀들의 상태를 결정하는 방법.
  9. 제 1 항에 있어서,
    상기 회절차수들은 PO 개구수, 연장된 퓨필의 좌표들, 및 조명 파장의 함수 인 것을 특징으로 하는 공간 광 변조기(SLM) 픽셀들의 상태를 결정하는 방법.
  10. 원하는 패턴을 래스터라이제이션하고 분해하기 위한 이상적인 마스크의 퓨필의 함수로서 리소그래피 시스템 내 공간 광 변조기(SLM) 픽셀들의 광학 래스터라이제이션을 수행하는 방법에 있어서,
    상기 원하는 패턴과 관련있는 기본적 대상물들에 대응하는 퓨필 필드 회절 차수들을 생성하는 단계;
    상기 생성된 회절 차수들을 상기 리소그래피 시스템의 필수적인 퓨필 내에 최적으로 근사하도록 상기 SLM 픽셀들의 모두에 대한 그레이톤들을 결정하는 단계; 및
    각 픽셀의 상태를 상기 픽셀에 할당된 대응 그레이톤을 에뮬레이션하도록 선택하는 단계를 포함하는 것을 특징으로 하는 공간 광 변조기(SLM) 픽셀들의 광학 래스터라이제이션을 수행하는 방법.
  11. 제 10 항에 있어서,
    상기 선택 단계는 (i) 상기 그레이톤들의 복합 수치화된 분포를 결정하고, (ii) 상기 그레이톤들의 복합 수치화된 분포를 상기 필수적인 퓨필을 통해 퓨필 필드 내로 매핑하도록 그레이톤 매트릭스 수치들을 결정하는 것을 포함하는 것을 특징으로하는 공간 광 변조기(SLM) 픽셀들의 광학 래스터라이제이션을 수행하는 방법.
  12. 제 10 항에 있어서,
    상기 기본적 대상물들은 다각형의 연합(union of polygons)을 포함하는 것을 특징으로 하는 공간 광 변조기(SLM) 픽셀들의 광학 래스터라이제이션을 수행하는 방법.
  13. 제 10 항에 있어서,
    상기 결정 단계는 복합-수치 기술들(complex-value techniques)의 작업을 포함하는 것을 특징으로 하는 공간 광 변조기(SLM) 픽셀들의 광학 래스터라이제이션을 수행하는 방법.
  14. 제 10 항에 있어서,
    상기 상태는 기울기 각 및 전압 수준을 포함하는 그룹으로부터의 하나 이상을 포함하는 것을 특징으로 하는 공간 광 변조기(SLM) 픽셀들의 광학 래스터라이제이션을 수행하는 방법.
  15. 원하는 패턴을 프린트하도록 구성된 리소그래피 시스템에서 공간 광 변조기(SLM) 픽셀들의 상태들을 결정하는 장치에 있어서,
    상기 리소그래피 시스템에 의해 프린트될 패턴의 이상적 마스크와 관련 있는 회절 차수들을 결정하는 수단 및
    상기 원하는 패턴과 관련있는 결정된 회절 차수들과 매치되도록 SLM 픽셀들의 상태들을 구성하는 수단을 포함하는 공간 광 변조기(SLM) 픽셀들의 상태들을 결정하는 장치.
  16. 제 15 항에 있어서,
    상기 회절 차수들은 상기 SLM 픽셀들과 관련 있는 특징들을 대표하는 것을 특징으로 하는 공간 광 변조기(SLM) 픽셀들의 상태들을 결정하는 장치.
  17. 제 15 항에 있어서,
    상기 픽셀 상태들은 상기 원하는 회절 패턴과 실질적으로 매치되도록 구성되는 것을 특징으로 하는 공간 광 변조기(SLM) 픽셀들의 상태들을 결정하는 장치.
  18. 제 17 항에 있어서,
    상기 매치는 상기 리소그래피 시스템의 연장된 투영 광학기(PO) 내에서 일어나는 것을 특징으로 하는 공간 광 변조기(SLM) 픽셀들의 상태들을 결정하는 장치.
  19. 제 18 항에 있어서,
    상기 회절 차수의 결정은 상기 PO 내 퓨필 필드를 나타내는 비-선형 표시를 포함하는 것을 특징으로 하는 공간 광 변조기(SLM) 픽셀들의 상태들을 결정하는 장치.
  20. 제 18 항에 있어서,
    상기 회절 차수의 결정은 선형 그레이톤 근사를 포함하는 것을 특징으로 하는 공간 광 변조기(SLM) 픽셀들의 상태들을 결정하는 장치.
  21. 원하는 패턴을 래스터라이제이션하고 분해하는 이상적인 마스크의 퓨필의 함수로서 리소그래피 시스템 내 공간 광 변조기(SLM) 픽셀들의 광학 래스터라이제이션을 수행하는 장치에 있어서,
    상기 원하는 패턴과 관련있는 기본적 대상물들에 대응하는 퓨필 필드 회절 차수들을 생성하는 수단;
    상기 생성된 회절 차수들을 상기 리소그래피 시스템의 필수적인 퓨필 내에 최적으로 근사하도록 상기 SLM 픽셀들의 모두에 대한 그레이톤들을 결정하는 수단; 및
    각 픽셀의 상태를 상기 픽셀에 할당된 대응 그레이톤을 에뮬레이션하도록 선택하는 수단을 포함하는 것을 특징으로 하는 공간 광 변조기(SLM) 픽셀들의 광학 래스터라이제이션을 수행하는 장치.
  22. 원하는 패턴을 프린트하도록 구성된 리소그래피시스템에서 공간 광 변조기(SLM) 픽셀들의 상태들을 결정하는 방법을 실시하기 위한, 하나 이상의 프로세서들에 의해 수행하기 위한 하나 이상의 명령어들(instructions)의 하나 이상의 시퀀 스들을 갖는 컴퓨터 판독가능한 매체에 있어서,
    상기 하나 이상의 프로세서들에 의해 수행될 때 상기 명령어들은 하나 이상의 프로세서들이 다음 단계들:
    상기 리소그래피 시스템의 의해 프린트될 패턴의 이상적 마스크와 관련있는 회절 차수들을 결정하는 단계; 및
    상기 원하는 패턴과 관련있는 결정된 회절 차수들과 매치되도록 상기 SLM 픽셀들의 상태들을 구성하는 단계를 수행하도록 하는 것을 특징으로 하는 컴퓨터 판독가능한 매체.
KR1020077019598A 2005-01-28 2006-01-27 전체적 최적화에 기초한 무마스크 리소그래피래스터라이제이션 기술을 위한 방법 및 시스템 KR20070104444A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US64745905P 2005-01-28 2005-01-28
US60/647,459 2005-01-28

Publications (1)

Publication Number Publication Date
KR20070104444A true KR20070104444A (ko) 2007-10-25

Family

ID=36777779

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077019598A KR20070104444A (ko) 2005-01-28 2006-01-27 전체적 최적화에 기초한 무마스크 리소그래피래스터라이제이션 기술을 위한 방법 및 시스템

Country Status (7)

Country Link
US (1) US7469058B2 (ko)
EP (1) EP1856654A2 (ko)
JP (1) JP4758443B2 (ko)
KR (1) KR20070104444A (ko)
CN (1) CN101111850A (ko)
TW (1) TW200731124A (ko)
WO (1) WO2006083685A2 (ko)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE516914C2 (sv) * 1999-09-09 2002-03-19 Micronic Laser Systems Ab Metoder och rastrerare för högpresterande mönstergenerering
EP1856654A2 (en) 2005-01-28 2007-11-21 ASML Holding N.V. Method and system for a maskless lithography rasterization tecnique based on global optimization
EP2037488A4 (en) * 2006-06-09 2011-11-23 Nikon Corp METHOD AND DEVICE FOR FORMING PATTERNS, METHOD AND DEVICE FOR EXPOSING, AND METHOD FOR MANUFACTURING DEVICES
US7936445B2 (en) * 2006-06-19 2011-05-03 Asml Netherlands B.V. Altering pattern data based on measured optical element characteristics
US7737420B2 (en) * 2007-03-30 2010-06-15 Intel Corporation Pixelated modulation of illumination pupil image
JP4538021B2 (ja) * 2007-05-31 2010-09-08 株式会社東芝 光近接効果の補正方法
US8918743B1 (en) * 2013-08-12 2014-12-23 Synopsys, Inc. Edge-based full chip mask topography modeling
US9354511B2 (en) 2013-12-06 2016-05-31 Synopsys, Inc. Integrated mask-aware lithography modeling to support off-axis illumination and multi-tone masks
US9348964B2 (en) 2014-04-21 2016-05-24 Synopsys, Inc. MASK3D model accuracy enhancement for small feature coupling effect
JP6676941B2 (ja) * 2015-12-01 2020-04-08 株式会社ニコン 制御装置及び制御方法、露光装置及び露光方法、デバイス製造方法、データ生成方法、並びに、プログラム
JP6676942B2 (ja) * 2015-12-01 2020-04-08 株式会社ニコン 制御装置及び制御方法、露光装置及び露光方法、デバイス製造方法、データ生成方法、並びに、プログラム
US10578882B2 (en) * 2015-12-28 2020-03-03 Ostendo Technologies, Inc. Non-telecentric emissive micro-pixel array light modulators and methods of fabrication thereof
WO2018168923A1 (ja) 2017-03-16 2018-09-20 株式会社ニコン 制御装置及び制御方法、露光装置及び露光方法、デバイス製造方法、データ生成方法、並びに、プログラム
US10495979B1 (en) * 2019-02-19 2019-12-03 Applied Materials, Inc. Half tone scheme for maskless lithography
US10571809B1 (en) * 2019-02-19 2020-02-25 Applied Materials, Inc. Half tone scheme for maskless lithography
JP7184166B2 (ja) * 2019-03-29 2022-12-06 株式会社ニコン 決定方法、決定装置、露光装置およびプログラム

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5296891A (en) * 1990-05-02 1994-03-22 Fraunhofer-Gesellschaft Zur Forderung Der Angewandten Forschung E.V. Illumination device
GB2271464A (en) * 1992-08-21 1994-04-13 Sharp Kk Photoemission apparatus.
US6424388B1 (en) * 1995-04-28 2002-07-23 International Business Machines Corporation Reflective spatial light modulator array
WO1998004950A1 (en) * 1996-07-25 1998-02-05 Anvik Corporation Seamless, maskless lithography system using spatial light modulator
US6312134B1 (en) * 1996-07-25 2001-11-06 Anvik Corporation Seamless, maskless lithography system using spatial light modulator
US6177980B1 (en) * 1997-02-20 2001-01-23 Kenneth C. Johnson High-throughput, maskless lithography system
US6238832B1 (en) * 1997-12-25 2001-05-29 Canon Kabushiki Kaisha Electrophotographic photosensitive member
SE9800665D0 (sv) * 1998-03-02 1998-03-02 Micronic Laser Systems Ab Improved method for projection printing using a micromirror SLM
US6238852B1 (en) * 1999-01-04 2001-05-29 Anvik Corporation Maskless lithography system and method with doubled throughput
US6498685B1 (en) * 1999-01-11 2002-12-24 Kenneth C. Johnson Maskless, microlens EUV lithography system
US6247037B1 (en) * 1999-01-28 2001-06-12 Displaytech, Inc Optical correlator having multiple active components formed on a single integrated circuit
US6509955B2 (en) * 2000-05-25 2003-01-21 Ball Semiconductor, Inc. Lens system for maskless photolithography
US6624880B2 (en) * 2001-01-18 2003-09-23 Micronic Laser Systems Ab Method and apparatus for microlithography
US6563566B2 (en) * 2001-01-29 2003-05-13 International Business Machines Corporation System and method for printing semiconductor patterns using an optimized illumination and reticle
GB0107742D0 (en) * 2001-03-28 2001-05-16 Swan Thomas & Co Ltd Spatial light modulators
US6764796B2 (en) * 2001-06-27 2004-07-20 University Of South Florida Maskless photolithography using plasma displays
US7079321B2 (en) * 2001-10-18 2006-07-18 Asml Holding N.V. Illumination system and method allowing for varying of both field height and pupil
KR20050044369A (ko) * 2001-11-07 2005-05-12 어플라이드 머티어리얼스, 인코포레이티드 마스크없는 광자-전자 스팟-그리드 어레이 프린터
US7106490B2 (en) * 2001-12-14 2006-09-12 Micronic Laser Systems Ab Methods and systems for improved boundary contrast
SE0200547D0 (sv) * 2002-02-25 2002-02-25 Micronic Laser Systems Ab An image forming method and apparatus
US6717650B2 (en) * 2002-05-01 2004-04-06 Anvik Corporation Maskless lithography with sub-pixel resolution
US6707534B2 (en) * 2002-05-10 2004-03-16 Anvik Corporation Maskless conformable lithography
US6864958B2 (en) * 2002-07-09 2005-03-08 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
CN1723384A (zh) * 2003-01-15 2006-01-18 麦克罗尼克激光***公司 检测缺陷像素的方法
SE0300240D0 (sv) * 2003-01-31 2003-01-31 Micronic Laser Systems Ab SLM addressing method
SG146424A1 (en) * 2003-03-31 2008-10-30 Asml Masktools Bv Source and mask optimization
US6956692B2 (en) * 2003-04-24 2005-10-18 Micronic Laser Systems, Ab Method and apparatus for controlling exposure of a surface of a substrate
US6819469B1 (en) * 2003-05-05 2004-11-16 Igor M. Koba High-resolution spatial light modulator for 3-dimensional holographic display
US7063920B2 (en) * 2003-05-16 2006-06-20 Asml Holding, N.V. Method for the generation of variable pitch nested lines and/or contact holes using fixed size pixels for direct-write lithographic systems
US7061591B2 (en) * 2003-05-30 2006-06-13 Asml Holding N.V. Maskless lithography systems and methods utilizing spatial light modulator arrays
US6833854B1 (en) * 2003-06-12 2004-12-21 Micronic Laser Systems Ab Method for high precision printing of patterns
WO2004111701A1 (en) * 2003-06-12 2004-12-23 Micronic Laser Systems Ab Method for high precision printing of patterns
US7110082B2 (en) * 2003-06-24 2006-09-19 Asml Holding N.V. Optical system for maskless lithography
US7154587B2 (en) * 2003-06-30 2006-12-26 Asml Netherlands B.V Spatial light modulator, lithographic apparatus and device manufacturing method
US6876440B1 (en) * 2003-09-30 2005-04-05 Asml Holding N.V. Methods and systems to compensate for a stitching disturbance of a printed pattern in a maskless lithography system utilizing overlap of exposure zones with attenuation of the aerial image in the overlap region
US7410736B2 (en) * 2003-09-30 2008-08-12 Asml Holding N.V. Methods and systems to compensate for a stitching disturbance of a printed pattern in a maskless lithography system not utilizing overlap of the exposure zones
US7012674B2 (en) * 2004-01-13 2006-03-14 Asml Holding N.V. Maskless optical writer
US7094506B2 (en) * 2004-03-09 2006-08-22 Asml Netherlands B.V Lithographic apparatus and device manufacturing method
US7292308B2 (en) * 2004-03-23 2007-11-06 Asml Holding N.V. System and method for patterning a flexible substrate in a lithography tool
US7153616B2 (en) * 2004-03-31 2006-12-26 Asml Holding N.V. System and method for verifying and controlling the performance of a maskless lithography tool
US6963434B1 (en) * 2004-04-30 2005-11-08 Asml Holding N.V. System and method for calculating aerial image of a spatial light modulator
US7102733B2 (en) * 2004-08-13 2006-09-05 Asml Holding N.V. System and method to compensate for static and dynamic misalignments and deformations in a maskless lithography tool
EP1856654A2 (en) 2005-01-28 2007-11-21 ASML Holding N.V. Method and system for a maskless lithography rasterization tecnique based on global optimization
US7170669B1 (en) * 2005-09-28 2007-01-30 Anvik Corporation Spatial light modulator array with heat minimization and image enhancement features

Also Published As

Publication number Publication date
US7469058B2 (en) 2008-12-23
US20060209314A1 (en) 2006-09-21
CN101111850A (zh) 2008-01-23
EP1856654A2 (en) 2007-11-21
TW200731124A (en) 2007-08-16
WO2006083685A3 (en) 2007-05-31
WO2006083685A2 (en) 2006-08-10
JP2008529090A (ja) 2008-07-31
JP4758443B2 (ja) 2011-08-31

Similar Documents

Publication Publication Date Title
US7469058B2 (en) Method and system for a maskless lithography rasterization technique based on global optimization
KR100636039B1 (ko) 공간 광변조기의 가공 이미지 계산을 위한 시스템 및 방법
US5680588A (en) Method and system for optimizing illumination in an optical photolithography projection imaging system
US7934172B2 (en) SLM lithography: printing to below K1=.30 without previous OPC processing
CN102109775B (zh) 光刻装置和采用数据过滤的器件制造方法
US8786824B2 (en) Source-mask optimization in lithographic apparatus
US20050219502A1 (en) RET for optical maskless lithography
JP4777968B2 (ja) リソグラフィシステム、デバイス製造方法、セットポイントデータ最適化方法、及び最適セットポイントデータ生成装置
KR101098070B1 (ko) 고정밀도 패턴 인쇄 방법
US20060068334A1 (en) Phase-shifting optical maskless lithography enabling asics at the 65 and 45 NM nodes
CN102096331B (zh) 用于光刻设备的改善的偏振设计
JP2004343127A (ja) リソグラフィックマスクレイアウトを現像するための方法及びリソグラフィックマスクレイアウトを現像するための装置及びリソグラフィックマスクレイアウトを現像する方法を実行する1つ以上のプロセッサによる実行のための1つ以上の命令の1つ以上のシーケンスを収容するコンピュータリーダブル媒体
KR20050043713A (ko) 고유 분해 기반 opc 모델
US20090213354A1 (en) Method and apparatus for projection printing
JP2008078652A (ja) リソグラフィシステム、デバイス製造方法、及びマスク最適化方法
CN1325960C (zh) 改善边界对比度的方法和***
KR20070020410A (ko) 광학 마스크리스 리소그래피에서 패턴을 노광하고 마스크를에뮬레이팅하는 방법
Rydberg Laser Mask Writers
KR20080106293A (ko) 광학적 근접도 교정 방법
TW201835689A (zh) 控制裝置及控制方法、曝光裝置及曝光方法、元件製造方法、資料生成方法和程式
WO2006029858A1 (en) Phase-shifting optical maskless lithography enabling asics at the 65 and 45 nm nodes
Bolt Aerial image resolution and edge-slope enhancement via acousto-optic phasefront manipulation

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application