JPH1083990A - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法

Info

Publication number
JPH1083990A
JPH1083990A JP8236894A JP23689496A JPH1083990A JP H1083990 A JPH1083990 A JP H1083990A JP 8236894 A JP8236894 A JP 8236894A JP 23689496 A JP23689496 A JP 23689496A JP H1083990 A JPH1083990 A JP H1083990A
Authority
JP
Japan
Prior art keywords
gate electrode
semiconductor device
mos transistor
sub
insulated gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP8236894A
Other languages
English (en)
Other versions
JP3220645B2 (ja
Inventor
Hiroyuki Ota
裕之 大田
Takekazu Sato
豪一 佐藤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Ltd
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Priority to JP23689496A priority Critical patent/JP3220645B2/ja
Priority to US08/870,293 priority patent/US6017784A/en
Priority to TW086108790A priority patent/TW334597B/zh
Priority to KR1019970027141A priority patent/KR100237798B1/ko
Publication of JPH1083990A publication Critical patent/JPH1083990A/ja
Priority to US09/461,005 priority patent/US6380014B1/en
Application granted granted Critical
Publication of JP3220645B2 publication Critical patent/JP3220645B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Plasma & Fusion (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

(57)【要約】 【課題】 微細化されたMOSトランジスタを含む半導
体装置の製造方法に関し、微細化可能で高信頼性を与え
る半導体装置の製造方法を提供することである。 【解決手段】 半導体基板上に絶縁ゲート電極を有する
複数のMOSトランジスタ構造を形成する工程と、前記
絶縁ゲート電極を覆って、前記半導体基板上に、水素を
含む原料ガスを用いた平行平板型プラズマCVDにより
電極面積当たり0.11W/cm2 〜0.85W/cm
2 の高周波電力で絶縁膜を堆積する工程とを含む。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体装置の製造
方法に関し、特に微細化されたMOSトランジスタを含
む半導体装置の製造方法に関する。
【0002】
【従来の技術】半導体集積回路装置の性能向上のため、
高集積化や高速化が要求されている。半導体集積回路装
置の性能向上のため、その代表的半導体素子であるMO
Sトランジスタを微細化することが要求されている。基
板表面内での寸法縮小と共に厚さ方向の寸法縮小も進
み、たとえばゲート酸化膜は10nm以下、ソース/ド
レイン接合は100nm以下となりつつある。
【0003】MOSトランジスタを微細化すると、加熱
工程における不純物の再分布による短チャネル効果(ソ
ース・ドレイン間のパンチスルー)やゲート電極からゲ
ート酸化膜を通してチャネル領域への不純物の突き抜け
が問題となってくる。これらを防止するため、一般的に
プロセスの低温化が要求されている。
【0004】ゲート電極およびその両側のソース/ドレ
イン領域を形成した後の層間絶縁膜は従来、バッチ式熱
CVDで作成することが多かった。プロセスの低温化の
要求に従い、層間絶縁膜をプラズマCVD(PECV
D)法で作成する技術や枚葉式熱CVDで作成する技術
が開発されている。プラズマCVDでの基板加熱温度は
300℃〜550℃程度でよい。
【0005】CMOSトランジスタの高性能化のために
は、pチャネルトランジスタのゲート電極にはB等のp
型不純物をドープし、nチャネルトランジスタのゲート
電極にはP、As等のn型不純物をドープしたデュアル
ゲートサーフェスチャネルMOSFETが採用されつつ
ある。
【0006】小面積の半導体表面に低抵抗のコンタクト
を作成するためには、ソース/ドレイン領域(さらに、
場合によりゲート電極)の表面にシリサイド層を自己整
合的に作成するシリサイド(サリサイド)化の技術も採
用される。シリサイド化の技術の採用は、その後の加熱
工程に制限を加える。PECVDや枚葉式熱CVDによ
る層間絶縁膜の形成はこの要求にも適合する。
【0007】PECVDや枚葉式熱CVD等の低温プロ
セスで層間絶縁膜を形成すると、層間絶縁膜からMOS
トランジスタ構造への水分の拡散が問題となる。また、
層間絶縁膜を貫通してシリサイドを使用したソース/ド
レイン領域へのコンタクト孔をエッチングする際、エッ
チストッパが存在すること(セルフアラインコンタクト
(SAC))が望まれる。そこで、層間絶縁膜を薄い窒
化膜とその上の酸化膜の積層構造とし、両層をPECV
Dまたは枚葉式熱CVDで作成する技術やPECVDと
枚葉式熱CVDとの組み合わせで作成する技術が開発さ
れている。窒化膜は水分遮蔽機能とエッチストッパとし
ての機能を果たす。
【0008】
【発明が解決しようとする課題】バッチ式熱CVDやP
ECVDで層間絶縁膜を形成すると、pチャネルMOS
FETの閾値電圧の不安定性、pチャネルMOSFET
のBT(バイアステンペラチャー)ストレス寿命劣化の
促進、nチャネルMOSFETのホットキャリア寿命劣
化の促進等の新たな問題が生じる。これらの問題は、高
信頼性の半導体集積回路装置を提供する目的にとって致
命的な問題となる。
【0009】本発明の目的は、微細化可能で高信頼性を
与える半導体装置の製造方法を提供することである。
【0010】本発明の他の目的は、プロセス低温化の目
的を果し、かつ高信頼性を与える半導体装置の製造方法
を提供することである。
【0011】
【課題を解決するための手段】本発明の一観点によれ
ば、半導体基板上に絶縁ゲート電極を有するMOSトラ
ンジスタ構造を形成する工程と、前記絶縁ゲート電極を
覆って、前記半導体基板上に、水素を含む原料ガスを用
いた平行平板型プラズマCVDにより電極面積当たり
0.11W/cm2 〜0.85W/cm2 の高周波電力
で絶縁膜を堆積する工程とを含む半導体装置の製造方法
が提供される。
【0012】絶縁ゲート電極を覆う絶縁膜を、高周波電
力を制限したプラズマCVDによって堆積することによ
り、従来のバッチ式熱CVDやプラズマCVDで作成し
た絶縁膜に伴う種々の問題が改善される。
【0013】高周波電力を低下させると、成長速度およ
び膜質が悪くなる。しかし、窒化膜の厚さは20nm〜
100nm(多くの場合、50nm〜70nm)とあま
り厚くないので、成長速度は速くなくてもあまり問題は
生じない。また、窒化膜はエッチストッパとしては厳密
な緻密性を必要とせず、エッチングの選択比を十分に取
れればよいので、膜質もあまり問題を生じない。
【0014】本発明の他の観点によれば、半導体基板上
に絶縁ゲート電極を有するMOSトランジスタ構造を形
成する工程と、前記絶縁ゲート電極を覆って、前記半導
体基板上に枚葉式熱CVDにより窒化膜を堆積する工程
と、前記窒化膜上にプラズマCVDまたは枚葉式熱CV
Dにより酸化膜を堆積する工程とを含む半導体装置の製
造方法が提供される。
【0015】ゲート電極を覆う絶縁膜を、枚葉式熱CV
Dで作成した窒化膜と、その上にプラズマCVDまたは
枚葉式熱CVDにより作成した酸化膜との積層構造とす
ることにより、従来のバッチ式熱CVDやプラズマCV
Dにより作成した絶縁膜の場合に生じた種々の問題が改
善できる。
【0016】
【発明の実施の形態】プラズマCVD(PECVD)に
よって層間絶縁膜を形成すると、層間絶縁膜およびその
近傍に原子状および分子状の水素が侵入し、悪影響を誘
発すると言われている。すなわち、導入された水素は、
ゲート電極中のドープイオン(特にB)を増速拡散させ
たり、ゲート酸化膜の界面またはゲート酸化膜中に欠陥
を導入したりすると言われている。この現象は、ゲート
電極にBをドープしたpチャネルMOSFETにおいて
顕著である。
【0017】ゲート電極中のドープ不純物が、ゲート酸
化膜を貫通してチャネル領域に拡散すると、MOSFE
Tの閾値電圧を不安定に変化させてしまう。また、ゲー
ト酸化膜の界面やゲート酸化膜中に欠陥が生成される
と、BTストレス寿命の劣化を促進したり、ホットキャ
リア寿命の劣化を促進したりする。これらの現象は、低
温プロセスで層間絶縁膜を形成する際、層間絶縁膜およ
びその近傍に水素が侵入することによって生じるものと
言われている。
【0018】本発明者らは、PECVD絶縁膜中に導入
され、デバイスの信頼性に影響を与える水素量を減少さ
せるため、まずプラズマ中の水素を考察した。
【0019】図1は、PECVDに用いる平行平板型プ
ラズマCVD装置の構成を概略的に示す。真空容器1内
に平行平板型電極2a、2bが上下に対向して配置され
ている。なお、平行平板型電極2a、2bは、半径が7
5mmであり、600ミル(15.42mm)の間隔を
おいて配置されている。平行平板型電極2a、2bに
は、RF電源3から13.56MHzの高周波電力がD
Cカット用キャパシタ4を介して供給される。
【0020】上側の電極2a内に、ガス供給管6から原
料ガスが供給され、真空容器1底部に接続された排気管
7から排気される。真空容器1内を所定真空度とし、R
F電源3から高周波電力を供給すると、平行平板型電極
2a、2b間にプラズマ8が生成される。なお、ランプ
9は下側電極2bを加熱し、その上に配置されるウエハ
5を加熱するためのものである。
【0021】本発明者らは、プラズマ8を立たせた状態
で、RF電源3から供給する高周波電力を変化させ、プ
ラズマ中からの水素由来種の発光強度を調べた。
【0022】図2は、プラズマ中の水素由来種の発光強
度を示すグラフである。横軸は発光波長を単位nmで示
し、縦軸は発光強度を任意単位で示す。波長380nm
〜440nmの波長領域に水素由来種の発光が生じる。
図には、392.06nmのH2 発光と、波長427.
94nmのH* (水素ラジカル)の発光を特に示す。高
周波電力を100W、300W、500Wと変化させた
時の発光強度を2本のピークで示している。
【0023】H2 の発光も、H* の発光も、それぞれプ
ラズマに供給する高周波電力の大小に依存して増減して
いることが判る。したがって、プラズマに供給する高周
波電力を低減すれば、プラズマ中の活性水素由来種の密
度も減少することが期待される。そこで、高周波電力を
変化させて層間絶縁膜を作成し、得られたMOSFET
の特性を調べた。
【0024】図3(A)、(B)、(C)は、BTスト
レス試験の概要および結果を示す。図3(A)は、BT
ストレス試験を概略的に示す。MOSFET10は、厚
さ6nmのゲート酸化膜、厚さ180nmの多結晶Si
ゲート電極、厚さ20nmの低温酸化膜、厚さ70nm
の窒化膜の積層による絶縁ゲート電極構造を有する。窒
化膜は、高周波電力を変えたPECVDで作成した。M
OSFET10を150℃、200℃等のバイアス温度
に加熱し、ソースS、ドレインDおよび基板Subをそ
れぞれ接地する。
【0025】この状態で、ソースS、ドレインD、基板
SubとゲートG間にバイアス用ゲート電圧Vgを印加
する。バイアス用ゲート電圧Vgは、たとえばゲート絶
縁膜における電界強度が−5MV/cm程度になるよう
に設定する。たとえば、Vg=−3.3Vである。所定
時間このようなストレスを印加した後、MOSFET1
0を常温に戻し、寿命を測定する。
【0026】図3(B)は、このようにBTストレスを
印加した後のpチャネルMOSFETの寿命を測定した
結果を示す。なお、ストレス温度は200℃、ゲート電
圧は−3.3Vであった。寿命は、基板温度を85℃と
し、ドレインDとゲートGとにVd、Vg=−2.75
Vを印加した時、ドレイン電流Idが3%変化するまで
の時間とする。
【0027】層間絶縁膜を作成する際、平行平板型電極
2a、2b間に240W(1.36W/cm2 )および
50W(0.28W/cm2 )の高周波電力を印加した
時の寿命を表に示す。240Wの高周波電力を供給した
場合と較べ、50Wの高周波電力を供給した場合、Id
に関する寿命は1桁以上長くなっている。
【0028】図3(C)は、高周波電圧を、50W、1
00W、240Wに変化させた時のIdを基準としたI
dに関する寿命を示す。横軸は高周波電力Prfの2乗
の逆数、1/Prf2 を示し、縦軸は寿命を時間で示
す。
【0029】図から明らかなように、高周波電力が50
Wから100W、240Wと増加するに従い、BTスト
レス寿命は減少を続けている。高周波電力が100Wを
越えるとストレス寿命の低下率が大きくなり、さらに1
50Wを越えて増大すると、BTストレス寿命の低下は
著しくなる。
【0030】この結果から、印加する高周波電力は15
0W以下であることが好ましいと判る。なお、電極面積
で印加した高周波電力を規格化すると、印加する高周波
電力は0.85W/cm2 以下であることが好ましいと
判る。
【0031】なお、印加する高周波電力は20Wより小
さくなると、プラズマを立てること自身が困難となる。
20Wの高周波電力は電力面積で規格化すると0.11
W/cm2 となる。したがって、高周波電力を電極面積
当たり0.11W/cm2 〜0.85W/cm2 とする
ことが好ましい。
【0032】図3は、高周波電力の低減によるBTスト
レス寿命の改善を示した。高周波電力を低減すると、B
Tストレス寿命以外の特性にも改善が見られる。
【0033】図4は、pMOSキャパシタのフラットバ
ンド電圧の変化およびnチャネルMOSFETのホット
キャリア寿命の変化を示す。
【0034】図4(A)は、pチャネルMOSキャパシ
タのフラットバンド電圧が高周波電力を50Wと240
Wとし、窒素雰囲気において800℃、30秒の処理を
施した時にどのような値となるかを調べた結果を示す表
である。フラットバンド電圧Vfbは、高周波電力が2
40Wの時、1.23Vであり、高周波電力が50Wの
時、1.07Vであった。
【0035】図4(B)は、nチャネルMOSFETの
ホットキャリア寿命の結果を示す。ホットキャリア寿命
の測定は、図3(A)同様、MOSFETのゲート電極
Gと基板Sub間にバイアス電圧Vgを印加し、基板電
流Isubが最大になるように設定する。この状態でM
OSFETをオンし、所定時間放置する。その後寿命を
測定する。
【0036】図4(B)は、基板電流Isubが1μA
/1μmの時の寿命を示す。寿命は、ドレイン電流Id
が3%変化するまでの時間とする。高周波電力が240
Wの場合、ホットキャリア寿命は6×104 秒であった
のに対し、高周波電力を50Wとすると、ホットキャリ
ア寿命は5×105 秒になり、1桁近くの改善が認めら
れる。
【0037】このように、BTストレス寿命やホットキ
ャリア寿命が長くなる現象は、高周波電力の低減に伴
い、プラズマ中の活性水素密度が減少することによるも
のと考えられる。プラズマ中の活性水素密度が高い場
合、プラズマから堆積膜またはその下地中に活性な水素
が高エネルギで注入され、Si−O−Si等の結合を切
り、欠陥を作るものと考えられる。
【0038】これらの欠陥におけるダングリングボンド
は、雰囲気中の水素と結合したり、後のアニール工程に
おいて水素と結合し、一旦は回復する。しかしながら、
その後の加熱や電圧印加等の原因により、終端化した水
素が離れると、再び欠陥が表に現れる。
【0039】ダングリングボンドの発生は、界面準位の
生成となり、不純物をトラップして固定電荷の生成とも
なる。このような原因により、寿命が短くなるものと考
えられる。高周波電流を低減すると、このように欠陥生
成率が減少するものと考えられる。
【0040】図5は、高周波電流を240Wに設定して
層間絶縁膜を作成した場合と、高周波電力を50Wに設
定して層間絶縁膜を形成した場合、それぞれにおいてそ
の後窒素雰囲気中360秒間のRTA熱処理を行い、水
素分布がどのように変化するかを2次イオン質量分析
(SIMS)で測定した結果を示す。図中左側に高RF
パワー(240W)の場合を示し、右側に低RFパワー
(50W)の場合を示す。それぞれの図において、横軸
は深さを示し、縦軸はSIMSの信号強度を示す。な
お、熱処理温度としては、550℃、700℃、850
℃の3種類を用いた。各図において、最も上に示される
曲線は層間絶縁膜を堆積したままの状態のサンプルを示
し、以下順次550℃、700℃、850℃の熱処理を
行なったサンプルの結果を示す。
【0041】膜堆積直後の水素密度は層間絶縁膜堆積時
の高周波電力によらずほぼ同一である。しかしながら、
膜堆積後、熱処理を行なうと、高RFパワーの場合に
は、窒化膜中の水素濃度はあまり減少しないのに対し、
低RFパワーの場合には窒化膜中の水素濃度が顕著に減
少している。
【0042】さらに、高RFパワーの場合、熱処理によ
ってゲート酸化膜Gox中の水素濃度が増大している。
これに対し、低RFパワーの場合には、熱処理を行なっ
てもゲート酸化膜中の水素濃度がほとんど変化していな
い。
【0043】高RFパワーの場合、窒化膜中の水素濃度
が変化しにくく、かつゲート酸化膜中の水素濃度は増大
するのに対し、低RFパワーの場合、窒化膜中の水素濃
度は減少しやすく、ゲート酸化膜中の水素濃度は変化し
にくい。理由としては、低RFパワーの場合には膜密度
が疎であるため、水素が外方拡散しやすいためと考えら
れる。
【0044】高RFパワーの場合、膜堆積後の熱処理に
よってゲート酸化膜中の水素濃度が増大し、種々の悪影
響を与えているものと考えられる。これに対し、低RF
パワーによって層間絶縁膜を堆積すると、堆積直後の窒
化膜中の水素濃度は無視できないが、その後の熱処理に
よって窒化膜中の水素濃度が減少し、ゲート酸化膜中の
水素濃度は増大しないため、種々の特性の改善が生じる
ものと考えられる。
【0045】以下、図6、図7を参照して、本発明の実
施例によるnチャネルMOSFETの製造方法を説明す
る。
【0046】図6(A)に示すように、(100)面の
CZシリコン基板21にp型ウェル22を形成した後、
周知のLOCOS法によって素子分離領域24を形成す
る。シリコン基板の表面にゲート酸化膜25を6nm程
度形成する。ゲート酸化膜25を覆うように、周知の低
圧(LP)CVDを用い、多結晶シリコン膜26を20
0nm堆積し、低抵抗化のために燐をイオン注入により
ドープする。その後、ホトリソグラフィを用いたエッチ
ングにより、多結晶シリコン膜26を選択的にエッチン
グし、ゲート電極26aを形成する。
【0047】図6(B)に示すように、LDD用の浅い
低濃度のn型拡散層を形成するため、ゲート電極26a
をマスクとしてAs+ をイオン注入する。このイオン注
入により、LDD用の浅い低濃度のn型拡散層29が形
成される。
【0048】図6(C)に示すように、基板全面上にC
VDにより酸化シリコン等の絶縁膜3を形成する。異方
性ドライエッチング(RIE)を用い、絶縁膜31をエ
ッチングし、ゲート電極26aの側壁上にのみサイドウ
ォールスペーサ(側壁絶縁スペーサ)31aを残す。
【0049】図7(D)に示すように、サイドウォール
スペーサ31aを形成した基板に対し、As+ イオンを
イオン注入し、n+ 型の高濃度ソース/ドレイン領域3
3を形成する。
【0050】図7(E)に示すように、高濃度ソース/
ドレイン領域33上にシリサイド電極35を形成する。
シリサイド電極の形成は、まずシリコン活性層上に形成
された薄い酸化膜をエッチング液でエッチング除去し、
スパッタリングによりシリコン活性層上にたとえばCo
の高融点金属膜を堆積する。次に、2段階短時間熱処理
法を用い、露出していたシリコン活性層上に自己整合的
に高融点金属シリサイド膜35を形成する。
【0051】2段階短時間熱処理法は、まず低温で1次
シリサイド反応を行い、その後未反応高融点金属膜をエ
ッチング液で除去し、さらに2次熱処理を行なって完全
なシリサイド膜35を形成する。
【0052】シリサイドとしては、コバルトシリサイ
ド、チタンシリサイド、白金シリサイド、モリブデンシ
リサイド、タングステンシリサイド、ニッケルシリサイ
ドのいずれか、またはこれらの組み合わせを用いること
ができよう。
【0053】図7(F)に示すように、基板全面上に窒
化シリコン膜36を堆積する。原料ガスとしてSiH4
(流量18sccm)とNH3 (流量60sccm)を
用い、圧力1.5Torr、基板温度350℃でプラズ
マCVDを用い、13.56MHzの高周波電力を0.
11W/cm2 〜0.85W/cm2 としてプラズマC
VD膜を形成する。
【0054】続いて、窒化シリコン膜36の上に、プラ
ズマCVDにより酸化シリコン膜37を形成する。この
プラズマCVDも、13.56MHzの高周波電力を
0.11W/cm2 〜0.85W/cm2 に選択して行
なう。ソースガスとしては、たとえばSiH4 とN2
を用いればよい。
【0055】このようにして、窒化シリコン膜36、酸
化シリコン膜37の積層による層間絶縁膜を高周波電力
を制限したプラズマCVDにより形成する。なお、窒化
シリコン膜36の代わりに酸化窒化シリコン膜を用いて
もよい。また、Si原料としては、SiH4 、Si2
6 、SiH2 Cl2 、SiHCl3 を用いることができ
る。
【0056】なお、酸化シリコン膜37のSi原料とし
ては、SiH4 、Si2 6 、テトラエトキシオルソシ
ラン(TEOS)、テトラエチルフルオロシラン(TE
FS)等を用いることができる。なお、窒化シリコン膜
36の形成以前に酸化シリコン膜等が形成されていても
良い。
【0057】プラズマCVD後に、ラピッドサーマルア
ニール(RTA)によりN2 等の雰囲気中、800℃、
30秒間の熱処理を行なう。この熱処理により、窒化シ
リコン膜36、酸化シリコン膜37中に導入された水素
を脱ガスさせると共に、膜質を改善する。なお、雰囲気
はN2 の他、オゾン、アルゴン、酸素を用いてもよい。
また、RTAの代わりに抵抗炉による加熱を用いてもよ
い。
【0058】その後、窒化シリコン膜36、酸化シリコ
ン膜37で形成された層間絶縁膜を貫通し、ゲート電極
26a、ソース/ドレイン領域上のシリサイド膜35を
露出するコンタクト孔を形成し、バリアメタル、Al合
金層を含む金属配線層38、39を形成し、MOSFE
Tの各電極を上部に引き出す。
【0059】以上nチャネルMOSFETの製造方法を
説明したが、同様の手順によりpチャネルMOSFET
を製造することもできる。
【0060】図8、9を参照して、pチャネルMOSF
ETの製造方法を説明する。図8(A)に示すように、
(100)面CZシリコン基板21にn型ウェル23を
形成した後、周知のLOCOSによって酸化シリコンの
素子分離領域24を形成する。素子分離領域24によっ
て画定された活性領域表面に、厚さ6nm程度のゲート
酸化膜25を形成する。ゲート酸化膜上に、LPCVD
により多結晶シリコン膜27を厚さ200nm形成す
る。
【0061】図8(B)に示すように、ホトリソグラフ
ィを用いたエッチングにより、多結晶Si膜27を選択
的にエッチングし、ゲート電極27aを形成する。
【0062】図8(C)に示すように、基板全面上にC
VDにより酸化シリコン等の絶縁膜31を形成し、異方
性ドライエッチングを行なってゲート電極27aの側壁
上にのみサイドウォールスペーサ31aを残す。
【0063】図9(D)に示すように、ゲート電極27
aおよびサイドウォールスペーサ31aをマスクとし、
p型不純物としてB+ イオンをイオン注入し、p型ソー
ス/ドレイン領域43を形成する。
【0064】露出したシリコン活性層上に形成された酸
化膜をエッチング液で除去し、清浄なシリコン面を露出
させる。
【0065】図9(E)に示すように、露出したシリコ
ン面上にコバルトCo等のシリサイド膜45を形成す
る。シリサイド45の形成は、前述の実施例同様、2段
階短時間熱処理法を用いて行なうことができる。
【0066】図9(F)に示すように、基板全面上に窒
化シリコン膜36、酸化シリコン膜37をプラズマCV
Dにより作成する。窒化シリコン膜は、たとえばSiH
4 (18sccm)とNH3 (60sccm)を用い、
雰囲気圧力1.5Torr、基板温度350℃、RF電
力0.11W/cm2 〜0.85W/cm2 で行なうこ
とができる。
【0067】プラズマCVDの後に、好ましくは前述の
実施例同様のアニーリングを行なう。たとえば、RTA
を用い、N2 雰囲気中、800℃、30秒間の熱処理を
行なう。
【0068】その後、窒化シリコン膜36、酸化シリコ
ン膜37を貫通するコンタクト孔を形成し、バリア層、
Al合金層を含むメタル配線層を堆積し、パターニング
して電極38、39を形成する。このようにして、pチ
ャネルMOSFETを完成する。
【0069】なお、図6、図7に示したnチャネルMO
SFETと図8、図9に示したpチャネルMOSFET
を同一基板上に作成することもできる。これらの図中対
応する構成要素は同一数字で示されている。それらは共
通の工程で作成できる。
【0070】なお、図7(F)、図9(F)に示すプラ
ズマCVDにおいて、基板温度は150℃〜550℃の
範囲内から選択することができる。また、雰囲気圧力は
1.5Torr〜10Torr程度を選択することがで
きる。プラズマCVD後のアニーリングにおいて、処理
雰囲気は、アルゴン、N2 、オゾンを含むO2 またはO
2 とすることもできる。好ましくは、水素をより外方拡
散させる雰囲気を使用する。
【0071】また、プラズマCVD後に、水素を脱ガス
させる処理として熱処理に代え、プラズマ処理を行なっ
てもよい。この場合、プラズマ処理温度としては300
℃〜550℃の範囲を選択することができる。プラズマ
処理雰囲気としてはO2 、N 2 、ArまたはN2 Oを選
択することができる。
【0072】窒化シリコン膜をエッチングストッパとし
て用いる場合、窒化シリコン膜の屈折率を1.9〜2.
9の範囲に選択することが好ましい。一般に、窒化膜の
屈折率が高い方が酸化膜とのエッチング選択比を高くす
ることができる。従って、エッチストッパとしての機能
からは、屈折率は高い方が望ましい。窒化シリコン膜の
原料ガスとしてSiH4 、NH3 を用いる場合、SiH
4 の流量をNH3 に対し、多めに設定することにより、
屈折率を高めることができる。なお、上述の屈折率はエ
リプソメトリーにより波長632.8nmで測定した場
合を基準とする。
【0073】以上、PECVDにおける高周波電力と熱
処理温度を制限し、導入される水素量を制限する実施例
を説明したが、窒化シリコン膜にて層間絶縁膜を作成す
る場合、窒化シリコン膜は枚葉式熱CVDにより作成す
ることもできる。
【0074】熱CVD装置としては、バッチ式装置と枚
葉式装置とが知られている。バッチ式熱CVD装置を用
いる場合、加熱温度が高く(たとえば750℃程度)、
しかも長時間(たとえば2〜3時間程度)の処理を必要
とする。このような条件によれば、ゲート電極中のドー
プイオン(特にB)を拡散させ、ゲート酸化膜の界面ま
たはゲート酸化膜中に欠陥を導入したりすると言われて
いる。この現象は、ゲート電極にBをドープしたpチャ
ネルMOSFETにおいて顕著である。ゲート電極中の
ドープ不純物が、ゲート酸化膜を貫通してチャネル領域
に拡散すると、MOSFETの閾値電圧を不安定に変化
させてしまう。また、ゲート酸化膜の界面やゲート酸化
膜中に欠陥が生成されると、BTストレス寿命の劣化を
促進する。また、プロセスの低温化の要求にも沿わな
い。
【0075】枚葉式熱CVD装置によれば、加熱温度5
50℃〜600℃、10分間程度の処理により窒化シリ
コン膜を作成することができる。この程度の条件であれ
ば、プロセスの低温化の要求を満たすことができる。な
お、枚葉式熱CVDの際の基板温度を高くすると、処理
時間を短縮化することもできる。したがって、枚葉式熱
CVDにおける基板温度は500℃〜800℃の範囲か
ら選択することができる。
【0076】図17に、ボロン(B)拡散の計算結果を
示す。拡散温度750℃、700℃、550℃、400
℃で、拡散時間360分、30分、10分の各場合につ
いてボロン濃度の深さ方向分布を算出した。図17に
は、これらのうち代表的なものを示す。
【0077】拡散温度が750℃や700℃であって
も、拡散時間が10分であれば、拡散の程度は低く、実
用に際してほぼ問題ない。拡散時間が30分となると、
拡散が激しくなり、実用化は困難であろう。拡散温度が
550℃以下であれば、拡散時間が360分であっても
全く問題ないであろう。許容できる拡散の基準として表
面濃度の1/10の濃度となる深さが0.02μm以下
とすると、拡散温度が800℃の場合、拡散時間が25
分以内であればよいことになる。従って、熱CVDの基
板温度は500℃〜800℃の範囲から選択することが
できる。
【0078】バッチ式拡散装置では、たとえば750
℃、2〜3時間の処理を必要とするが、ボロン拡散の影
響を無視できる程度まで低減することは困難であろう。
【0079】図10は、枚葉式熱CVD装置の構成例を
示す。図10(A)において、容器51内の上部にガス
供給手段52が配置され、下部にサセプタ53が配置さ
れている。サセプタ53は、下面からランプ54によっ
て加熱することができる。ウエハ55は、サセプタ53
上に載置する。
【0080】図10(B)においては、容器51内の中
間にサセプタ53が配置され、サセプタ53はその中央
に開口を有する。サセプタ53下部にガス供給手段52
が配置されている。熱CVD膜は、サセプタ53上に裏
返して載置されたウエハ55下面上に堆積する。容器5
1の上方には石英窓56が設けられており、石英窓56
上部に加熱用ランプ54が配置されている。
【0081】以下、図11、12、13、14を参照
し、本発明の実施例によるCMOSFETの製造方法を
説明する。
【0082】図11(A)に示すように、p型Si基板
21の表面上にパッド用酸化シリコン膜18、マスク用
窒化シリコン膜19を形成する。
【0083】図11(B)に示すように、ホトリソグラ
フィを用い、窒化シリコン膜19をパターニングし、開
口を有する窒化シリコン膜19aを作成する。窒化シリ
コン膜19aをマスクとし、周知のLOCOSを行なっ
て素子分離領域24を形成する。
【0084】図11(C)に示すように、基板表面上に
レジストマスク47を形成し、たとえばB+ イオンを注
入し、p型ウェル22を作成する。その後レジストマス
クは除去する。
【0085】図11(D)に示すように、作成したp型
ウェル22を覆うレジストマスク48を形成し、たとえ
ばp+ 型イオンをイオン注入し、n型ウェル23を作成
する。その後レジストマスクは除去する。
【0086】図12(E)に示すように、シリコン基板
表面の活性領域上に厚さ約5.5nmのゲート酸化膜2
5を形成し、チャネルドーズのイオン注入を行い、チャ
ネルドーズ領域49を作成する。
【0087】図12(F)に示すように、多結晶シリコ
ン膜と酸化シリコン膜の積層を形成し、パターニングす
ることによってゲート電極51およびその上の酸化シリ
コン膜52を作成する。
【0088】図12(G)に示すように、n型ウェル2
3上部をレジストマスク53で覆い、As+ イオンをイ
オン注入し、ゲート電極51両側にLDD用の低濃度の
ソース/ドレイン領域29を作成する。その後レジスト
マスクは除去する。
【0089】図13(H)に示すように、基板全面上に
酸化シリコン膜を堆積し、反応性イオンエッチング等の
異方性エッチングを行なうことにより、ゲート電極側壁
上にサイドウォールスペーサ31aを作成する。
【0090】図13(I)に示すように、n型ウェル2
3上を覆うレジストマスク55を形成し、As+ イオン
をイオン注入し、高濃度のソース/ドレイン領域33を
形成する。その後レジストマスクは除去する。
【0091】図13(J)に示すように、p型ウェル2
2を覆うレジストマスク57を形成し、B+ イオンをイ
オン注入し、pチャネルMOSFETのソース/ドレイ
ン領域43を形成する。その後レジストマスクは除去す
る。
【0092】図14(K)に示すように、イオン注入し
た不純物をRTAにより活性化した後、露出しているシ
リコン表面上にCoシリサイド膜45、35を作成す
る。シリサイド膜の作成は、前述の実施例同様、Co膜
堆積と2段階短時間熱処理法により行なうことができ
る。
【0093】図14(L)に示すように、シリサイド膜
を形成した基板表面上に窒化シリコン膜61、酸化シリ
コン膜62、SOG膜63を形成する。
【0094】窒化シリコン膜61の形成は、枚葉式熱C
VD装置を用い、厚さ70nm程度を堆積する。枚葉式
熱CVDの条件は、たとえばSiH4 (45sccm)
とNH3 (1000sccm)を用い、雰囲気圧力60
Torr、基板温度600℃で行なうことができる。な
お、窒化シリコン膜61の代わりに酸化窒化シリコン膜
を用いてもよい。また、Si原料としては、SiH4
Si2 6 、SiH2Cl2 、SiHCl3 を用いるこ
とができる。なお、窒化シリコン膜61の形成以前に酸
化シリコン膜等が形成されていても良い。
【0095】酸化シリコン膜62は、前述の実施例同様
のPECVDにより、厚さ150nm程度を形成する。
Si原料としては、たとえばTEOSを用いることがで
きる。なお、酸化シリコン膜62のSi原料としては、
SiH4 、Si2 6 、テトラエトキシオルソシラン
(TEOS)、テトラエチルフルオロシラン(TEF
S)等を用いることができる。なお、窒化シリコン膜3
6の形成以前に酸化シリコン膜等が形成されていても良
い。また、枚葉式熱CVDによって酸化シリコン膜62
を成膜してもよい。
【0096】SOG膜63は、表面を平坦化する機能を
有する。たとえば平坦部での厚さ200nm程度のSO
G膜63を形成すればよい。なお、平坦化の方法として
化学機械研磨法(CMP)等を用いてもよい。
【0097】図14(M)に示すように、層間絶縁膜を
貫通するコンタクト孔を形成し、配線層を堆積した後、
パターニングを行なって電極38、39を形成する。こ
のようにしてCMOSFETを製造することができる。
【0098】図15(A)、(B)は、上述の実施例に
よって作成したnチャネルMOSFETの性能を、他の
方法により作成したnチャネルMOSFETと比較して
示すグラフである。
【0099】図15(A)は、閾値電圧Vthに対し、
飽和ドレイン・ソース電流Idsを示すグラフである。
参照用として、層間絶縁膜(の下層)としてPECVD
によるSiONを用いたサンプルを測定した。○印がそ
のプロットである。□印のプロットは、窒化シリコン膜
をPECVDで作成した場合を示し、△印と◇印は枚葉
式熱CVDにより窒化シリコン膜を725℃および60
0℃で作成した場合を示す。枚葉式熱CVDによるサン
プルは、飽和電流が5〜10%程度、他のサンプルより
も高いことが判る。
【0100】図15(B)は、nチャネルMOSFET
の最大相互コンダクタンスをマスク幅Lmaskの関数
として示すグラフである。
【0101】この場合も、枚葉式熱CVDにより窒化シ
リコン膜を作成したサンプルは、最大相互コンダクタン
スGmmaxが他のサンプルと較べ3%程度大きいこと
が判る。
【0102】図16は、作成したpチャネルMOSFE
Tの飽和ドレイン電流Idsを閾値電圧Vthの関数と
して示すグラフである。○印はバッチ式熱CVD装置に
より窒化シリコン膜を725℃で作成した場合の結果で
あり、□印は枚葉式熱CVD装置により窒化シリコン膜
を600℃で作成した場合を示す。pチャネルMOSF
ETの飽和ドレイン電流は、バッチ式熱CVDを用いる
と低下する傾向があるが、枚葉式熱CVD装置によれ
ば、バッチ式熱CVD装置を用いた時と較べ飽和ドレイ
ン電流を高く維持することができる。
【0103】以上実施例に沿って本発明を説明したが、
本発明はこれらに制限されるものではない。例えば、種
々の変更、改良、組み合わせ等が可能なことは当業者に
自明であろう。
【0104】
【発明の効果】以上説明したように、本発明によれば、
プロセスの低温化の要求を満たし、かつ高信頼性のMO
SFETを含む半導体装置を製造することが可能とな
る。
【図面の簡単な説明】
【図1】プラズマCVD装置の構成を概略的に示す断面
図である。
【図2】プラズマ中の水素由来種の発光を測定したスペ
クトルである。
【図3】BTストレス測定およびその結果を示す線図、
表、グラフである。
【図4】フラットバンド電圧とホットキャリア寿命を示
す表である。
【図5】高周波電力を変えて窒化シリコン膜を堆積した
場合のSIMS測定結果を示すグラフである。
【図6】本発明の実施例による半導体装置の製造方法の
主要工程を示す断面図である。
【図7】本発明の実施例による半導体装置の製造方法の
主要工程を示す断面図である。
【図8】本発明の実施例による半導体装置の製造方法の
主要工程を示す断面図である。
【図9】本発明の実施例による半導体装置の製造方法の
主要工程を示す断面図である。
【図10】枚葉式熱CVD装置の構成を概略的に示す断
面図である。
【図11】本発明の実施例による半導体装置の製造方法
の主要工程を示す断面図である。
【図12】本発明の実施例による半導体装置の製造方法
の主要工程を示す断面図である。
【図13】本発明の実施例による半導体装置の製造方法
の主要工程を示す断面図である。
【図14】本発明の実施例による半導体装置の製造方法
の主要工程を示す断面図である。
【図15】本発明の実施例に従って作成したサンプルの
測定結果を示すグラフである。
【図16】本発明の実施例に従って作成したサンプルの
測定結果を示すグラフである。
【図17】拡散温度と拡散時間を変化させた時のB拡散
の分布を示すグラフである。
【符号の説明】
1 真空容器 2a、2b 平行平板型電極 3 RF電源 4 DCカット用キャパシタ 5 ウエハ 6 ガス供給管 7 排気管 8 プラズマ 9 ランプ 10 MOSFET 21 シリコン基板 22 p型ウェル 23 n型ウェル 24 素子分離領域 25 ゲート酸化膜 26、27 多結晶Si膜 26a、27a ゲート電極 29、33、43 ソース/ドレイン領域 31 絶縁膜 31a サイドウォールスペーサ 35 シリサイド膜 36 窒化シリコン膜 37 酸化シリコン膜 38、39 配線 61 窒化シリコン膜 62 酸化シリコン膜 63 SOG膜
─────────────────────────────────────────────────────
【手続補正書】
【提出日】平成9年2月6日
【手続補正1】
【補正対象書類名】明細書
【補正対象項目名】0028
【補正方法】変更
【補正内容】
【0028】図3(C)は、高周波電力を、50W、1
00W、240Wに変化させた時のIdを基準としたI
dに関する寿命を示す。横軸は高周波電力Prfの2乗
の逆数、1/Prf2 を示し、縦軸は寿命を時間で示
す。
【手続補正2】
【補正対象書類名】明細書
【補正対象項目名】0034
【補正方法】変更
【補正内容】
【0034】図4(A)は、p型シリコン上のMOSキ
ャパシタであるpMOSキャパシタのフラットバンド電
の製造条件による差を示す。絶縁膜形成時の高周波電
力を50Wと240Wとし、窒素雰囲気において800
℃、30秒の処理を施した時にどのような値となるかを
調べた結果を示す表である。フラットバンド電圧Vfb
は、高周波電力が240Wの時、1.23Vであり、高
周波電力が50Wの時、1.07Vであった。
【手続補正3】
【補正対象書類名】明細書
【補正対象項目名】0048
【補正方法】変更
【補正内容】
【0048】図6(C)に示すように、基板全面上にC
VDにより酸化シリコン等の絶縁膜31を形成する。異
方性ドライエッチング(RIE)を用い、絶縁膜31を
エッチングし、ゲート電極26aの側壁上にのみサイド
ウォールスペーサ(側壁絶縁スペーサ)31aを残す。
【手続補正4】
【補正対象書類名】明細書
【補正対象項目名】0085
【補正方法】変更
【補正内容】
【0085】図11(D)に示すように、作成したp型
ウェル22を覆うレジストマスク48を形成し、たとえ
+ イオンをイオン注入し、n型ウェル23を作成す
る。その後レジストマスクは除去する。
【手続補正5】
【補正対象書類名】明細書
【補正対象項目名】0095
【補正方法】変更
【補正内容】
【0095】酸化シリコン膜62は、前述の実施例同様
のPECVDにより、厚さ150nm程度を形成する。
Si原料としては、たとえばTEOSを用いることがで
きる。なお、酸化シリコン膜62のSi原料としては、
SiH4 、Si2 6 、テトラエトキシオルソシラン
(TEOS)、テトラエチルフルオロシラン(TEF
S)等を用いることができる。なお、窒化シリコン膜
の形成以前に酸化シリコン膜等が形成されていても良
い。また、枚葉式熱CVDによって酸化シリコン膜62
を成膜してもよい。
【手続補正6】
【補正対象書類名】図面
【補正対象項目名】図6
【補正方法】変更
【補正内容】
【図6】

Claims (16)

    【特許請求の範囲】
  1. 【請求項1】 (a)半導体基板上に絶縁ゲート電極を
    有するMOSトランジスタ構造を形成する工程と、 (b)前記絶縁ゲート電極を覆って、前記半導体基板上
    に、水素を含む原料ガスを用いた平行平板型プラズマC
    VDにより電極面積当たり0.11W/cm2〜0.8
    5W/cm2 の高周波電力で絶縁膜を堆積する工程とを
    含む半導体装置の製造方法。
  2. 【請求項2】 前記高周波電力は、周波数13.56M
    Hzの電力である請求項1記載の半導体装置の製造方
    法。
  3. 【請求項3】 前記MOSトランジスタ構造は複数であ
    り、前記工程(a)が、前記MOSトランジスタ構造の
    うちの一部の絶縁ゲート電極にn型不純物をドープする
    サブ工程と、前記MOSトランジスタ構造のうちの他の
    一部の絶縁ゲート電極にp型不純物をドープするサブ工
    程とを含む請求項1記載の半導体装置の製造方法。
  4. 【請求項4】 前記工程(a)が前記MOSトランジス
    タ構造の絶縁ゲート電極の側壁上に側壁絶縁スペーサを
    形成するサブ工程と、絶縁ゲート電極両側のソース/ド
    レイン領域上にセルフアラインされたシリサイド層を形
    成するサブ工程とを含む請求項1記載の半導体装置の製
    造方法。
  5. 【請求項5】 前記工程(b)が半導体基板上に第1の
    絶縁膜を堆積するサブ工程と、第1の絶縁膜上に第2の
    絶縁膜を堆積するサブ工程を含み、第1の絶縁膜はSi
    NまたはSiONである請求項1記載の半導体装置の製
    造方法。
  6. 【請求項6】 前記工程(b)が、エリプソメトリによ
    る屈折率が1.9〜2.9であるSiN膜を堆積するサ
    ブ工程を含む請求項4記載の半導体装置の製造方法。
  7. 【請求項7】 さらに、 (c)前記工程(b)の後、前記絶縁膜から水素を脱ガ
    スさせる工程を含む請求項1記載の半導体装置の製造方
    法。
  8. 【請求項8】 前記工程(c)は、RTAまたは炉によ
    り前記半導体基板を所定温度で熱処理するサブ工程を含
    む請求項7記載の半導体装置の製造方法。
  9. 【請求項9】 前記所定温度は、500℃〜850℃の
    範囲内である請求項8記載の半導体装置の製造方法。
  10. 【請求項10】 前記熱処理するサブ工程は、オゾン、
    窒素、アルゴンまたは酸素の雰囲気中で行なう請求項8
    記載の半導体装置の製造方法。
  11. 【請求項11】 前記工程(c)は、前記半導体基板を
    プラズマ中で処理するサブ工程を含む請求項7記載の半
    導体装置の製造方法。
  12. 【請求項12】 前記プラズマ中で処理するサブ工程
    は、前記半導体装置を300℃〜550℃に保って行な
    う請求項11記載の半導体装置の製造方法。
  13. 【請求項13】 前記プラズマ中で処理するサブ工程
    は、酸素、窒素、亜酸化窒素、またはアルゴンの雰囲気
    で行なう請求項11記載の半導体装置の製造方法。
  14. 【請求項14】 (a)半導体基板上に絶縁ゲート電極
    を有するMOSトランジスタ構造を形成する工程と、 (b)前記絶縁ゲート電極を覆って、前記半導体基板上
    に枚葉式熱CVDにより基板温度500℃〜800℃で
    窒化膜を堆積する工程とを含む半導体装置の製造方法。
  15. 【請求項15】 前記MOSトランジスタ構造は複数で
    あり、前記工程(a)が、前記MOSトランジスタ構造
    のうちの一部の絶縁ゲート電極にn型不純物をドープす
    るサブ工程と、前記MOSトランジスタ構造のうちの他
    の一部の絶縁ゲート電極にp型不純物をドープするサブ
    工程とを含む請求項14記載の半導体装置の製造方法。
  16. 【請求項16】 前記工程(a)が前記MOSトランジ
    スタ構造の絶縁ゲート電極の側壁上に側壁絶縁スペーサ
    を形成するサブ工程と、絶縁ゲート電極両側のソース/
    ドレイン領域上にセルフアラインされたシリサイド層を
    形成するサブ工程とを含む請求項14記載の半導体装置
    の製造方法。
JP23689496A 1996-06-09 1996-09-06 半導体装置の製造方法 Expired - Lifetime JP3220645B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP23689496A JP3220645B2 (ja) 1996-09-06 1996-09-06 半導体装置の製造方法
US08/870,293 US6017784A (en) 1996-06-09 1997-06-06 Manufacture method of semiconductor device with suppressed impurity diffusion from gate electrode
TW086108790A TW334597B (en) 1996-09-06 1997-06-24 Manufacture method of semiconductor device with suppressed impurity diffusion from gate electrode
KR1019970027141A KR100237798B1 (ko) 1996-09-06 1997-06-25 반도체장치의 제조방법
US09/461,005 US6380014B1 (en) 1996-09-06 1999-12-15 Manufacture method of semiconductor device with suppressed impurity diffusion from gate electrode

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP23689496A JP3220645B2 (ja) 1996-09-06 1996-09-06 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JPH1083990A true JPH1083990A (ja) 1998-03-31
JP3220645B2 JP3220645B2 (ja) 2001-10-22

Family

ID=17007347

Family Applications (1)

Application Number Title Priority Date Filing Date
JP23689496A Expired - Lifetime JP3220645B2 (ja) 1996-06-09 1996-09-06 半導体装置の製造方法

Country Status (4)

Country Link
US (2) US6017784A (ja)
JP (1) JP3220645B2 (ja)
KR (1) KR100237798B1 (ja)
TW (1) TW334597B (ja)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1061573A2 (en) * 1999-06-17 2000-12-20 Fujitsu Limited Semiconductor device and method of manufacturing the same
JP2004193577A (ja) * 2002-12-12 2004-07-08 Hynix Semiconductor Inc 半導体素子の製造方法
JP2004281935A (ja) * 2003-03-18 2004-10-07 Fujitsu Ltd 半導体装置及びその製造方法
JP2005005280A (ja) * 2002-05-21 2005-01-06 Otb Group Bv 半導体基板を不動態化する方法
JP2005259956A (ja) * 2004-03-11 2005-09-22 Toshiba Corp 半導体装置の製造方法および半導体装置
JP2006278721A (ja) * 2005-03-29 2006-10-12 Toshiba Corp 半導体装置の製造方法
JP2006303403A (ja) * 2005-04-22 2006-11-02 Hynix Semiconductor Inc フラッシュメモリ素子の製造方法
JP2007519242A (ja) * 2004-01-14 2007-07-12 アプライド マテリアルズ インコーポレイテッド Pmd層の限定された熱履歴の形成
JP2007324589A (ja) * 2006-05-22 2007-12-13 Samsung Electronics Co Ltd 動作特性とフリッカーノイズ特性が向上したアナログトランジスタを備える半導体素子及びその製造方法
JP2008172158A (ja) * 2007-01-15 2008-07-24 Seiko Epson Corp キャパシタおよびその製造方法
JP2009224791A (ja) * 2009-05-26 2009-10-01 Tokyo Electron Ltd 成膜方法
JP2012054475A (ja) * 2010-09-02 2012-03-15 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
JP2015019091A (ja) * 2006-05-26 2015-01-29 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP2016086178A (ja) * 2009-07-17 2016-05-19 株式会社半導体エネルギー研究所 半導体装置の作製方法

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100252223B1 (ko) * 1997-08-30 2000-04-15 윤종용 반도체장치의 콘택홀 세정방법
US6218274B1 (en) * 1997-10-28 2001-04-17 Sony Corporation Semiconductor device and manufacturing method thereof
IT1305829B1 (it) * 1998-06-05 2001-05-16 St Microelectronics Srl Recupero del danneggiamento dell'ossido di campo causato da processidi impiantazione ionica ad alta energia e procedimenti di
KR100269330B1 (ko) * 1998-06-29 2000-12-01 윤종용 반사 방지 캡 및 스페이서를 구비하는 반도체장치, 이의 제조방법 및 이를 이용한 포토레지스트 패턴의 제조방법
JP2000269492A (ja) * 1999-03-16 2000-09-29 Nec Corp 半導体装置の製造方法
US6255703B1 (en) 1999-06-02 2001-07-03 Advanced Micro Devices, Inc. Device with lower LDD resistance
US6242776B1 (en) * 1999-06-02 2001-06-05 Advanced Micro Devices, Inc. Device improvement by lowering LDD resistance with new silicide process
JP2001057426A (ja) * 1999-06-10 2001-02-27 Fuji Electric Co Ltd 高耐圧半導体装置およびその製造方法
KR100315728B1 (ko) * 1999-12-31 2001-12-13 박종섭 트랜지스터 및 그의 제조 방법
US20010053572A1 (en) * 2000-02-23 2001-12-20 Yoshinari Ichihashi Semiconductor device having opening and method of fabricating the same
US6559007B1 (en) 2000-04-06 2003-05-06 Micron Technology, Inc. Method for forming flash memory device having a tunnel dielectric comprising nitrided oxide
US6534414B1 (en) 2000-06-14 2003-03-18 Integrated Device Technology, Inc. Dual-mask etch of dual-poly gate in CMOS processing
JP4006929B2 (ja) 2000-07-10 2007-11-14 富士通株式会社 半導体装置の製造方法
US6544908B1 (en) * 2000-08-30 2003-04-08 Micron Technology, Inc. Ammonia gas passivation on nitride encapsulated devices
JP4713752B2 (ja) 2000-12-28 2011-06-29 財団法人国際科学振興財団 半導体装置およびその製造方法
KR100423904B1 (ko) * 2002-03-26 2004-03-22 삼성전자주식회사 모스 트랜지스터에 접속되는 콘택을 가진 반도체 장치의제조방법
US6884736B2 (en) * 2002-10-07 2005-04-26 Taiwan Semiconductor Manufacturing Co, Ltd. Method of forming contact plug on silicide structure
US7371629B2 (en) * 2002-12-09 2008-05-13 Taiwan Semiconductor Manufacturing Company N/PMOS saturation current, HCE, and Vt stability by contact etch stop film modifications
US7972663B2 (en) * 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US7172792B2 (en) * 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US20050156208A1 (en) * 2003-09-30 2005-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Device having multiple silicide types and a method for its fabrication
KR20050066872A (ko) * 2003-12-27 2005-06-30 동부아남반도체 주식회사 높은 브레이크다운 전압을 갖는 고전압 반도체 소자 및 그제조 방법
US7241674B2 (en) * 2004-05-13 2007-07-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming silicided gate structure
US7015126B2 (en) 2004-06-03 2006-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming silicided gate structure
US7396767B2 (en) * 2004-07-16 2008-07-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure including silicide regions and method of making same
TWI267951B (en) * 2004-09-30 2006-12-01 Taiwan Semiconductor Mfg A device having multiple silicide types and a method for its fabrication
JP2006202860A (ja) * 2005-01-19 2006-08-03 Toshiba Corp 半導体装置及びその製造方法
KR100731745B1 (ko) * 2005-06-22 2007-06-22 삼성에스디아이 주식회사 유기전계발광표시장치 및 그 제조방법
US7510922B2 (en) * 2006-01-26 2009-03-31 Freescale Semiconductor, Inc. Spacer T-gate structure for CoSi2 extendibility
DE102006027969A1 (de) * 2006-06-17 2007-12-20 X-Fab Semiconductor Foundries Ag Verfahren zur selektiven Entspiegelung einer Halbleitergrenzfläche durch eine besondere Prozessführung
US7960243B2 (en) * 2007-05-31 2011-06-14 Freescale Semiconductor, Inc. Method of forming a semiconductor device featuring a gate stressor and semiconductor device
US7750400B2 (en) * 2008-08-15 2010-07-06 Texas Instruments Incorporated Integrated circuit modeling, design, and fabrication based on degradation mechanisms
TWI545652B (zh) 2011-03-25 2016-08-11 半導體能源研究所股份有限公司 半導體裝置及其製造方法
US9219159B2 (en) 2011-03-25 2015-12-22 Semiconductor Energy Laboratory Co., Ltd. Method for forming oxide semiconductor film and method for manufacturing semiconductor device
US9012904B2 (en) * 2011-03-25 2015-04-21 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4717602A (en) * 1984-03-12 1988-01-05 Semiconductor Energy Laboratory Co., Ltd. Method for producing silicon nitride layers
JPS61117841A (ja) * 1984-11-14 1986-06-05 Hitachi Ltd シリコン窒化膜の形成方法
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4863755A (en) * 1987-10-16 1989-09-05 The Regents Of The University Of California Plasma enhanced chemical vapor deposition of thin films of silicon nitride from cyclic organosilicon nitrogen precursors
US4877651A (en) * 1988-05-31 1989-10-31 Olin Corporation Process for thermally depositing silicon nitride and silicon dioxide films onto a substrate
JPH04323829A (ja) 1991-04-23 1992-11-13 Matsushita Electron Corp 半導体装置及びその製造方法
JPH05259297A (ja) * 1992-03-09 1993-10-08 Oki Electric Ind Co Ltd 半導体素子の製造方法
JPH06244196A (ja) 1993-02-19 1994-09-02 Hitachi Ltd 半導体集積回路装置およびその製造方法
JPH06310666A (ja) 1993-04-23 1994-11-04 Ricoh Co Ltd デュアルゲート構造cmos型半導体装置の製造方法
TW319892B (ja) * 1993-07-14 1997-11-11 Omi Tadahiro
US5567661A (en) * 1993-08-26 1996-10-22 Fujitsu Limited Formation of planarized insulating film by plasma-enhanced CVD of organic silicon compound
JP2663905B2 (ja) * 1995-03-30 1997-10-15 日本電気株式会社 半導体装置の製造方法

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1061573A2 (en) * 1999-06-17 2000-12-20 Fujitsu Limited Semiconductor device and method of manufacturing the same
JP2005005280A (ja) * 2002-05-21 2005-01-06 Otb Group Bv 半導体基板を不動態化する方法
JP2004193577A (ja) * 2002-12-12 2004-07-08 Hynix Semiconductor Inc 半導体素子の製造方法
JP4609980B2 (ja) * 2002-12-12 2011-01-12 株式会社ハイニックスセミコンダクター フラッシュメモリ素子の製造方法
JP2004281935A (ja) * 2003-03-18 2004-10-07 Fujitsu Ltd 半導体装置及びその製造方法
JP2007519242A (ja) * 2004-01-14 2007-07-12 アプライド マテリアルズ インコーポレイテッド Pmd層の限定された熱履歴の形成
JP2005259956A (ja) * 2004-03-11 2005-09-22 Toshiba Corp 半導体装置の製造方法および半導体装置
JP4537874B2 (ja) * 2005-03-29 2010-09-08 株式会社東芝 半導体装置の製造方法
JP2006278721A (ja) * 2005-03-29 2006-10-12 Toshiba Corp 半導体装置の製造方法
JP2006303403A (ja) * 2005-04-22 2006-11-02 Hynix Semiconductor Inc フラッシュメモリ素子の製造方法
US8445968B2 (en) 2006-05-22 2013-05-21 Samsung Electronics Co., Ltd. Semiconductor device having analog transistor with improved operating and flicker noise characteristics and method of making same
JP2007324589A (ja) * 2006-05-22 2007-12-13 Samsung Electronics Co Ltd 動作特性とフリッカーノイズ特性が向上したアナログトランジスタを備える半導体素子及びその製造方法
JP2015019091A (ja) * 2006-05-26 2015-01-29 株式会社半導体エネルギー研究所 半導体装置の作製方法
US9231070B2 (en) 2006-05-26 2016-01-05 Semiconductor Energy Laboratory Co., Ltd. Nonvolatile semiconductor memory device and manufacturing method thereof, semiconductor device and manufacturing method thereof, and manufacturing method of insulating film
JP4492819B2 (ja) * 2007-01-15 2010-06-30 セイコーエプソン株式会社 キャパシタの製造方法
JP2008172158A (ja) * 2007-01-15 2008-07-24 Seiko Epson Corp キャパシタおよびその製造方法
JP2009224791A (ja) * 2009-05-26 2009-10-01 Tokyo Electron Ltd 成膜方法
JP2016086178A (ja) * 2009-07-17 2016-05-19 株式会社半導体エネルギー研究所 半導体装置の作製方法
US10256291B2 (en) 2009-07-17 2019-04-09 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing semiconductor device
JP2012054475A (ja) * 2010-09-02 2012-03-15 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法

Also Published As

Publication number Publication date
JP3220645B2 (ja) 2001-10-22
KR100237798B1 (ko) 2000-01-15
KR19980024067A (ko) 1998-07-06
TW334597B (en) 1998-06-21
US6380014B1 (en) 2002-04-30
US6017784A (en) 2000-01-25

Similar Documents

Publication Publication Date Title
JP3220645B2 (ja) 半導体装置の製造方法
US6610615B1 (en) Plasma nitridation for reduced leakage gate dielectric layers
US7084061B2 (en) Methods of fabricating a semiconductor device having MOS transistor with strained channel
US6410938B1 (en) Semiconductor-on-insulator device with nitrided buried oxide and method of fabricating
US8058130B2 (en) Method of forming a nitrogen-enriched region within silicon-oxide-containing masses
EP2165359B1 (en) Selective threshold voltage adjustment for high-k gate dielectric cmos
US7754593B2 (en) Semiconductor device and manufacturing method therefor
US8809141B2 (en) High performance CMOS transistors using PMD liner stress
US6207586B1 (en) Oxide/nitride stacked gate dielectric and associated methods
US7514376B2 (en) Manufacture of semiconductor device having nitridized insulating film
US20070215930A1 (en) Semiconductor device and a method of manufacturing the same
US20060249795A1 (en) Semiconductor device and fabricating method thereof
US8084826B2 (en) Semiconductor device and manufacturing method thereof
US4774201A (en) Tungsten-silicide reoxidation technique using a CVD oxide cap
WO2000016391A1 (en) Method for producing semiconductor device
JP2002151684A (ja) 半導体装置及びその製造方法
US6756291B1 (en) Method for hardening gate oxides using gate etch process
US20060073650A1 (en) Method to selectively strain NMOS devices using a cap poly layer
JPH10335640A (ja) 半導体装置およびその製造方法
US6599820B1 (en) Method of producing a semiconductor device
JPH11261065A (ja) シリコンゲートfetの製造方法
JPH11330470A (ja) 半導体装置の製造方法
JPH06275639A (ja) 半導体装置の製造方法
JPH04336466A (ja) 半導体装置の製造方法
JPH11103047A (ja) Mos型半導体装置およびその製造方法

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20010731

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080810

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090810

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090810

Year of fee payment: 8

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090810

Year of fee payment: 8

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090810

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100810

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110810

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110810

Year of fee payment: 10

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110810

Year of fee payment: 10

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110810

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120810

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130810

Year of fee payment: 12

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

EXPY Cancellation because of completion of term