JPH081899B2 - 半導体装置を平坦化する方法 - Google Patents

半導体装置を平坦化する方法

Info

Publication number
JPH081899B2
JPH081899B2 JP4275904A JP27590492A JPH081899B2 JP H081899 B2 JPH081899 B2 JP H081899B2 JP 4275904 A JP4275904 A JP 4275904A JP 27590492 A JP27590492 A JP 27590492A JP H081899 B2 JPH081899 B2 JP H081899B2
Authority
JP
Japan
Prior art keywords
diamond
layer
polishing
carbon
dlc
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP4275904A
Other languages
English (en)
Other versions
JPH05218000A (ja
Inventor
マーク・アンソニー・シャソ
ポール・ブラッドリー・ジョーンズ
バーナード・スティール・マイナーソン
ヴィシュヌバーイ・ヴィンタルバーイ・パテル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JPH05218000A publication Critical patent/JPH05218000A/ja
Publication of JPH081899B2 publication Critical patent/JPH081899B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Weting (AREA)

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、一般に高性能超大規模
集積回路(VLSI)半導体チップの製造に関し、詳細
には、ダイアモンドまたはダイアモンド状エッチ・スト
ップ材料と組み合わせた化学・機械研磨技術により、基
板上の表面構造(フィーチャ)および皮膜を平坦化する
方法に関するものである。上記の方法は、平坦化した多
段金属被覆半導体構造の製造に用いられる。
【0002】
【従来の技術】半導体チップは、その接点がトレースと
呼ばれる金属線のパターンで相互接続された、装置のア
レイから構成される。VLSIチップでは、これらの金
属パターンは、多層構造を構成し、絶縁材料の層で分離
されている。金属線パターンの間の段間接続は、貫通穴
(バイア・ホールと呼ばれる)によって行われ、これら
はエッチングにより絶縁材料の層を貫通して形成され
る。代表的なチップの設計は、1つまたは2つの配線段
からなり、最新の技術では3つの配線段のものもある。
回路のコストおよび性能上の理由から、製造工程に対す
る要求がきびしくなり続けており、加工工程の追加が必
要になるとしても、補充の配線段を追加しても見合うよ
うになっている。しかし、バイア・ホールを使用する技
術は、現在広く使用されているが、多くの制限と欠点を
有し、金属膜層の数が増すにつれて、配線および段間
の位置合せが次第に困難になる。
【0003】VLSI半導体装置の製造技術では、シリ
コン・ウェーハを、通常は全体をSiO2によりスパッ
タ・コーティングしたアルミニウムと銅の合金の回路ト
レースおよびパッドで金属被覆するが、これにより、表
面形状が不規則になる。装置を段間で精密に位置合せす
るには、回路トレースおよびパッドまたは絶縁を損傷せ
ずに、平滑な表面形状を得ることが必要である。
【0004】エッチ・ストップおよび研磨スラリは、従
来技術で知られている。たとえば、米国特許第4671
852号明細書には、化学・機械研磨と、低圧化学蒸着
(LPCVD)により700℃でブランケット付着させ
たSi34との組合せを使って、「バーズ・ヘッド」と
呼ばれる不必要なSiO2突起を除去することが記載さ
れている。同特許には、他の記述も含まれるが、研磨パ
ッドの選択が重要なこと、および研磨の成否が研磨溶液
の化学組成に依存することを記載した点で有用である。
【0005】米国特許第4944836号明細書には、
Si34エッチ・ストップ層と共に使用する新規の化学
・機械研磨スラリが記載されている。これまでに使用さ
れてきた水性アルミナ・スラリは、AlCuとSiO2
のエッチング速度の比の点で不十分なことが分かってい
る。
【0006】半導体構造のすべてが700℃もの高温で
の処理に適するとはいえない。たとえば、回路を実装し
たウェーハ上の多段相互接続段では、金属が下層のデバ
イスに拡散することを防止するため、すべての処理を約
400℃以下に維持することが必要である。相互接続を
加工するのに適した温度、すなわち約325℃で付着さ
せた窒化シリコンは、このような「バックエンド・オブ
・ザ・ライン(BEOL)」処理でエッチ・ストップと
して有効に機能するには硬度が十分ではないことが分か
っている。酸化アルミニウム(Al23)は、SiO2
より硬度が高く、SiO2より研磨速度が高いことが分
かっているが、研磨材との化学反応のため、エッチ・ス
トップ材料としては有効ではない。
【0007】ダイアモンドおよび無定形の水素化ダイア
モンド状炭素(DLC)の、高周波および直流スパッタ
リング、高温フィラメントCVD、電子支援CVD、熱
CVD、プラズマ強化CVD(PECVD)が報告され
ている。沢辺(Sawabe)他は、Thin Solid Films, Vol.
137, p.89〜99で、炭素皮膜の化学蒸着について、平面
間の間隔が反射高エネルギー電子回析(RHEED)で
測定した立方晶形ダイアモンドの値と良く一致すると報
告している。この筆者等はまた、その皮膜の硬度、熱伝
導率、および電気抵抗率も、天然のダイアモンドとほぼ
同じであると報じている。日本応用物理学会誌 (Japane
se Journal of Applied Physics), Part2, No.6, p.519
〜521で、広瀬他は、RHEED測定値、ラマン・スペ
クトル、ビッカース硬さ、および比重の測定値がダイア
モンド皮膜であることを示している皮膜について報告し
ている。
【0008】しかし、従来の技術では、ダイアモンドま
たはダイアモンド状炭素皮膜を化学・機械研磨のエッチ
・ストップとして使用することも、様々な温度でこのよ
うな皮膜のCVDを行ない、BEOL処理に適した特性
を持たせることも報告されていない。硬質の炭素皮膜は
知られているが、エッチ・ストップとしては使用されて
いない。また、このようなダイアモンドまたはダイアモ
ンド状炭素皮膜のBEOL処理への適合性についても知
られていない。
【0009】化学・機械研磨は、金属被覆されたウェー
ハ上のSiO2層のコーティングを、化学的に溶解し、
機械的に摩耗させるものである。研磨材はアルカリ性の
シリカ・スラリである。どのエッチ・ストップも、アル
カリ性スラリ中で、SiO2、SiO2の結晶である石
英、または金属被覆したウェーハ上にコーティングされ
る他のどんな材料よりも、研磨される速度が遅いことが
重要である。ダイアモンド、グラファイト、および無定
形炭素は、水、酸およびアルカリに不溶性であり、Si
2および石英より硬度が高く、DLC層の硬度は約3
000〜9000kg/mm2である。エッチ・ストッ
プは、研磨工程の終点を決定する。ダイアモンドおよび
DLCは、SiO2よりはるかに硬度が高いため、研磨
工程での終点の検出が制御可能となる。ダイアモンドお
よびDLCがSiO2より硬度が高く、かつダイアモン
ドおよびDLCが化学的に不活性なために、SiO2
ダイアモンドのエッチ速度の比は約19:1ないし約3
11:1となる。この比の範囲は、特にBEOL工程で
のフィーチャ段の高さにとって有用である。DLCは、
Si、SiO2、炭化物を形成する金属等、ほとんどの
表面によく接着する。
【0010】平坦化により、次のレベルの金属回路配線
およびパッドの形成のための後続のリソグラフィ工程制
御を改善することができる。さらに、金属配線が段のあ
る表面構造上に設けられた時に生ずる配線の亀裂を防ぐ
ことができる。
【0011】
【発明が解決しようとする課題】本発明の目的は、表面
が平坦な半導体装置を提供することにある。
【0012】本発明の他の目的は、段間が平坦なVLS
I装置を提供することにある。
【0013】本発明の他の目的は、操作ごとにSiO2
除去の速度が予測できる技術および材料を使用した、化
学・機械エッチング/研磨により、半導体装置の表面を
平坦にすることにある。
【0014】本発明の他の目的は、絶縁体の厚みの均一
性を損なわずに、装置表面のすべての高い所を平坦にす
ることにある。
【0015】本発明の他の目的は、BEOL処理に適合
する条件で、エッチ・ストップ材料を提供することにあ
る。
【0016】本発明の他の目的は、SiO2より硬度が
高く、相対的に化学・機械研磨スラリに対する耐性の高
いエッチ・ストップ材料を提供することにある。
【0017】本発明の他の目的は、エッチング後の平坦
化された装置を、使用後のエッチ・ストップ材料の痕跡
を非破壊で除去することを含めて、完全に清浄にするこ
とにある。
【0018】
【課題を解決するための手段】これらおよび他の目的
は、本発明によれば、表面フィーチャを有し、全体を絶
縁材料の第1の層でコーティングした基板を設ける工程
と、絶縁材料層の上に、エッチ・ストップとしてダイア
モンドまたはダイアモンド状炭素(DLC)の第2の層
を付着させる工程と、第1の層が第2の層より後で研磨
され、研磨終了時に残った絶縁材料の厚みが分かり、実
質的に平坦な、すなわち粗面度が500Å未満となるよ
うに、スラリ中で所定の時間、研磨パッドを使用して化
学・機械研磨を行う工程と、ダイアモンドまたは炭素を
含有する残渣を除去する工程とを含む方法によって達成
される。
【0019】本発明の一実施例では、Al−Cu等のア
ルミニウムを主体とする合金の導体トレースおよびパッ
ドを配設した半導体基板を、パッドその他の表面フィー
チャを含めて基板表面全体がガラスの層でコーティング
されるように、厚みが約1μmの、ドーピングしたまた
はドーピングしないSiO2等のガラスでスパッタ・コ
ーティングする。CVDダイアモンドまたはDLCの層
を、全体に非破壊温度で付着させ、平坦性が得られるの
に十分な時間、化学・機械研磨を行う。従来の技術によ
る方法で、ただし低温で、炭化水素前駆体等の炭素含有
材料、たとえば、メタン、エタン、エチレン、アセチレ
ン、アルコール、またはこれらの混合物の、熱、電気ま
たは触媒によるフラグメンテーションによってダイアモ
ンドまたはDLC皮膜を付着させる。残留炭素は、反応
性イオン・エッチング(RIE)などにより除去する。
平坦化した装置は、ただちに次の加工を行える状態にあ
り、追加の装置を作成して、多段金属・半導体構造を形
成することができる。
【0020】
【実施例】図1に示す金属線の上にバイアス・スパッタ
リングした石英(BSQ)の3.0μmの被膜を有する
ウェーハを、図2に示すように、約75〜200℃でC
VDにより炭化水素前駆体から付着させたBSQの表面
形状に倣う表面形状の(コンフォーマルな)ダイアモン
ド状炭素(DLC)でコーティングした。平坦研磨に必
要な最適DLC厚みを決定するため、付着物は、厚み7
50Åおよび1000Åの皮膜に分割した。研磨前に皮
膜の厚みを測定した金属パッド上の表面凹凸は1.2μ
mであった。
【0021】ウェーハは、標準の酸化物平坦化工程で、
すなわち水とコロイド状シリカの比が8:1のスラリを
用いて、圧力1kg/cm2、軸およびテーブルの回転
速度40rpmで研磨した。異なる2種の研磨パッド、す
なわちローデル社(Rodel Inc.、米国デラウェア州ニュ
ーアーク)製の硬質パッドIC−60および軟質パッド
IC−40(ショアC硬さはそれぞれ70および55)
の評価を行った。研磨後のSiO2の厚みを測定して終
点を決定した。研磨圧力は約0.14〜0.7kg/c
2の範囲であり、好ましい条件は低めの範囲であっ
た。
【0022】DLC使用の利点は、軟質のパッドを使用
したときに得られる。DLC皮膜を被覆しないで軟質パ
ッドを用いて研磨すると、ウェーハ全体のSiO2の厚
みの不均一性が少なくなる。これは、軟質のパッドのほ
うがコンフォーマルであり、ウェーハ上の高い区域から
も低い区域からも、均一にBSQを除去するためである
と推測される。しかしながら、DLC皮膜を被覆する
と、低い区域のDLCは、高い区域のDLCが研磨除去
されて表面が平坦になるまで、BSQが研磨されるのを
防止する。固めのパッドを使用して処理したときは、D
LC皮膜を使用しても使用しなくても、厚みの均一性す
なわち平坦性に違いは認められなかった。高い表面凹凸
フィーチャ上の実際のBSQの除去は、DLC皮膜が除
去されるまで抑制された。
【0023】DLCの効果は、軟質のIC−40パッド
を使用する場合、非常に明らかである。DLCで保護さ
れないウェーハは、研磨を開始したほぼ直後に、パッド
およびフィールド領域から材料の除去が行なわれる、こ
の効果により、大きなフィーチャの周囲に表面凹凸が残
る。パッドが軟いと、これらの領域の平坦化が防止され
る。DLCで保護されたウェーハは、低いフィールド領
域を保護しながら、パッド上のBSQが除去されること
を示す。1000ÅのDLC被膜は、750Åの被膜よ
りずっと長い盛上ったフィーチャからの材料の除去を抑
止する。パッドをフィールドまで研磨するには、薄い皮
膜のほうが適しており、DLCのないウェーハよりも表
面が平坦になる。段階的な平坦化を図3、図4、および
図5に示す。
【0024】DLC層の除去は、電力密度約200〜5
00mW/cm2、圧力約30〜100ミリトルで、酸
素アッシングにより行う。100ミリトルの圧力で、1
00nm/分の除去速度が得られた。このDLCの酸素
アッシングにより、唯一の生成物としてCO2が得ら
れ、これはウェーハから完全に除去され、最終製品に未
知の材料を添加することなく、この方法を順次使用する
ことができる。結局、得られた構造は平坦性が改善され
る以外は、変化していない。
【0025】本発明を要約すると以下のようになる。 1.表面構造を配設した平坦な基板を用意する工程と、
基板および表面構造全体を、絶縁材料の第1の層でコー
ティングする工程と、第1の層を、ダイアモンドまたは
ダイアモンド状炭素を含む第2の層でコーティングする
工程と、第1の層と第2の層をスラリ中で研磨パッドを
使用して化学・機械研磨を行う工程と、全体が実質的に
平坦になった時点で加工を停止する工程とを含む、半導
体装置を平坦化する方法。 2.さらに、残留炭素を除去する工程を含むことを特徴
とする、1の方法。 3.さらに、複数の平坦化した半導体装置の整合層を使
用して、VLSI装置を形成する工程を含むことを特徴
とする、2の方法。 4.表面構造が、回路トレースおよびパッドを含むこと
を特徴とする、1の方法。 5.トレースおよびパッドが、アルミニウムと銅の合金
からなることを特徴とする、1の方法。 6.第1の層が、非晶質または結晶SiO2であること
を特徴とする、1の方法。 7.SiO2をスパッタリングによって付着させること
を特徴とする、6の方法。 8.ダイアモンドまたはダイアモンド状炭素を、CVD
またはスパッタリングによって付着させることを特徴と
する、1の方法。 9.ダイアモンドまたはダイアモンド状炭素を、厚みが
約750〜1000Åになるように付着させることを特
徴とする、8の方法。 10.研磨パッドが、ショア硬さ70未満のパッドを含
むことを特徴とする、1の方法。 11.スラリが、水性コロイド状シリカ・スラリを含む
ことを特徴とする、1の方法。 12.残留炭素をRIEまたは酸素アッシングによって
除去することを特徴とする、2の方法。 13.ダイアモンドまたはダイアモンド状炭素を、ほぼ
室温ないし約300℃の温度範囲で付着させることを特
徴とする、8の方法。 14.ダイアモンドまたはダイアモンド状炭素を、約2
00〜250℃の温度範囲で付着させることを特徴とす
る、13の方法。 15.ダイアモンドまたはダイアモンド状炭素の硬度
が、ビッカーズ硬度で約3000〜5000であること
を特徴とする、1の方法。 16.ダイアモンドまたはダイアモンド状炭素の層を、
熱化学蒸着、プラズマ化学蒸着、電子支援化学蒸着、高
周波プラズマ強化化学蒸着、または直流強化化学蒸着に
よるCVDによって付着させることを特徴とする、1の
方法。 17.第2の層を、炭化水素前駆体から高エネルギーで
付着させることを特徴とする、1の方法。 18.SiO2とダイアモンドまたはダイアモンド状炭
素のエッチ速度の比が、約19:1ないし311:1で
あることを特徴とする、1の方法。 19.研磨パッドのショア硬さが、70未満55までで
あることを特徴とする、11の方法。 20.研磨圧力が、約0.14〜0.7kg/cm2
あることを特徴とする、11の方法。 21.RIEプラズマの電力密度を、約30〜100ミ
リトルの圧力で、約100〜200mW/cm2に保持
することを特徴とする、14の方法。 22.ダイアモンドまたはダイアモンド状炭素を、室温
ないし約350℃の温度範囲で付着させることを特徴と
する、9の方法。 23.ダイアモンドまたはダイアモンド状炭素を、約1
50〜250℃の温度範囲で付着させることを特徴とす
る、22の方法。 24.SiO2の厚みが約1μmになるようにスパッタ
リングすることを特徴とする、7の方法。 25.ダイアモンドまたはダイアモンド状炭素の被膜を
含む層を、炭素含有材料の熱、電気または触媒によるフ
ラグメンテーションによって付着させることを特徴とす
る、1の方法。 26.炭化水素前駆体が、メタン、エタン、エチレン、
アセチレン、アルコール、およびそれらの混合物からな
る群から選択した炭化水素であることを特徴とする、1
7の方法。 本発明は、本発明の形態を修正しても実施できる。たと
えば、本発明の趣旨または中心的特徴から逸脱せずに、
他の材料を平坦化することができる。上記の実施例は、
あらゆる点で限定的ではなく、例示的なもので考えるべ
きであり、本発明は本明細書に示した詳細のみに限定さ
れるものではない。
【0026】
【発明の効果】以上述べたように、本発明によれば、平
坦な表面を有する半導体装置、およびこれらを組み合わ
せたVLSI装置が得られる。
【図面の簡単な説明】
【図1】本発明の工程を示す断面図である。
【図2】本発明の工程を示す断面図である。
【図3】本発明の工程を示す断面図である。
【図4】本発明の工程を示す断面図である。
【図5】本発明の工程を示す断面図である。
フロントページの続き (72)発明者 ポール・ブラッドリー・ジョーンズ アメリカ合衆国12590、ニューヨーク州ワ ッピンガース・フォールズ、ワイルドウッ ド・ドライブ 50 (72)発明者 バーナード・スティール・マイナーソン アメリカ合衆国10598、ニューヨーク州ヨ ークタウン・ハイツ、カリフォルニア・ロ ード 235 (72)発明者 ヴィシュヌバーイ・ヴィンタルバーイ・パ テル アメリカ合衆国10598、ニューヨーク州ヨ ークタウン・ハイツ、ヴィロウェイ・スト リート 2289 (56)参考文献 特開 昭63−251164(JP,A) 特開 昭61−240629(JP,A) 特開 平5−62954(JP,A)

Claims (1)

    【特許請求の範囲】
  1. 【請求項1】表面に凹凸構造を有する平坦な基板を用意
    する工程と、 基板および表面凹凸構造全体を、絶縁材料の第1の層で
    コーティングする工程と、 CVDまたはスパッタリングにより75°Cないし35
    0°Cの温度でダイアモンドまたはダイアモンド状炭素
    を含む第2の層を前記第1の層にコーティングする工程
    と、 第1の層と第2の層とを、スラリ中で研磨パッドを使用
    して化学・機械研磨を行う工程と、 全体が実質的に平坦になった時点で加工を停止する工程
    とを含む、半導体装置を平坦化する方法。
JP4275904A 1991-10-30 1992-10-14 半導体装置を平坦化する方法 Expired - Lifetime JPH081899B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US784280 1985-10-04
US07/784,280 US5246884A (en) 1991-10-30 1991-10-30 Cvd diamond or diamond-like carbon for chemical-mechanical polish etch stop

Publications (2)

Publication Number Publication Date
JPH05218000A JPH05218000A (ja) 1993-08-27
JPH081899B2 true JPH081899B2 (ja) 1996-01-10

Family

ID=25131947

Family Applications (1)

Application Number Title Priority Date Filing Date
JP4275904A Expired - Lifetime JPH081899B2 (ja) 1991-10-30 1992-10-14 半導体装置を平坦化する方法

Country Status (4)

Country Link
US (1) US5246884A (ja)
EP (1) EP0540444B1 (ja)
JP (1) JPH081899B2 (ja)
DE (1) DE69214087T2 (ja)

Families Citing this family (112)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6008107A (en) * 1990-06-14 1999-12-28 National Semiconductor Corporation Method of planarizing integrated circuits with fully recessed isolation dielectric
JP3060714B2 (ja) * 1992-04-15 2000-07-10 日本電気株式会社 半導体集積回路の製造方法
US5445996A (en) * 1992-05-26 1995-08-29 Kabushiki Kaisha Toshiba Method for planarizing a semiconductor device having a amorphous layer
GB2275129B (en) * 1992-05-26 1997-01-08 Toshiba Kk Method for planarizing a layer on a semiconductor wafer
US5512163A (en) * 1992-06-08 1996-04-30 Motorola, Inc. Method for forming a planarization etch stop
US5300188A (en) * 1992-11-13 1994-04-05 Kobe Development Corp. Process for making substantially smooth diamond
JPH07111962B2 (ja) 1992-11-27 1995-11-29 日本電気株式会社 選択平坦化ポリッシング方法
US5532191A (en) * 1993-03-26 1996-07-02 Kawasaki Steel Corporation Method of chemical mechanical polishing planarization of an insulating film using an etching stop
JP3360350B2 (ja) * 1993-04-21 2002-12-24 ヤマハ株式会社 表面平坦化法
US5332467A (en) * 1993-09-20 1994-07-26 Industrial Technology Research Institute Chemical/mechanical polishing for ULSI planarization
US5395801A (en) * 1993-09-29 1995-03-07 Micron Semiconductor, Inc. Chemical-mechanical polishing processes of planarizing insulating layers
JPH07245306A (ja) * 1994-01-17 1995-09-19 Sony Corp 半導体装置における膜平坦化方法
US5725573A (en) * 1994-03-29 1998-03-10 Southwest Research Institute Medical implants made of metal alloys bearing cohesive diamond like carbon coatings
US6087025A (en) * 1994-03-29 2000-07-11 Southwest Research Institute Application of diamond-like carbon coatings to cutting surfaces of metal cutting tools
US5725413A (en) * 1994-05-06 1998-03-10 Board Of Trustees Of The University Of Arkansas Apparatus for and method of polishing and planarizing polycrystalline diamonds, and polished and planarized polycrystalline diamonds and products made therefrom
US5516729A (en) * 1994-06-03 1996-05-14 Advanced Micro Devices, Inc. Method for planarizing a semiconductor topography using a spin-on glass material with a variable chemical-mechanical polish rate
US5559367A (en) * 1994-07-12 1996-09-24 International Business Machines Corporation Diamond-like carbon for use in VLSI and ULSI interconnect systems
US5494854A (en) * 1994-08-17 1996-02-27 Texas Instruments Incorporated Enhancement in throughput and planarity during CMP using a dielectric stack containing HDP-SiO2 films
US5527423A (en) * 1994-10-06 1996-06-18 Cabot Corporation Chemical mechanical polishing slurry for metal layers
US5633207A (en) * 1994-10-14 1997-05-27 Kabushiki Kaisha Toshiba Method of forming a wiring layer for a semiconductor device
JP3438446B2 (ja) * 1995-05-15 2003-08-18 ソニー株式会社 半導体装置の製造方法
US5665201A (en) * 1995-06-06 1997-09-09 Advanced Micro Devices, Inc. High removal rate chemical-mechanical polishing
JP3230986B2 (ja) * 1995-11-13 2001-11-19 株式会社東芝 ポリッシング方法、半導体装置の製造方法及び半導体製造装置。
US5798302A (en) * 1996-02-28 1998-08-25 Micron Technology, Inc. Low friction polish-stop stratum for endpointing chemical-mechanical planarization processing of semiconductor wafers
US5780119A (en) * 1996-03-20 1998-07-14 Southwest Research Institute Treatments to reduce friction and wear on metal alloy components
US5858477A (en) * 1996-12-10 1999-01-12 Akashic Memories Corporation Method for producing recording media having protective overcoats of highly tetrahedral amorphous carbon
US6115233A (en) * 1996-06-28 2000-09-05 Lsi Logic Corporation Integrated circuit device having a capacitor with the dielectric peripheral region being greater than the dielectric central region
US5872043A (en) * 1996-07-25 1999-02-16 Industrial Technology Research Institute Method of planarizing wafers with shallow trench isolation
US5916453A (en) 1996-09-20 1999-06-29 Fujitsu Limited Methods of planarizing structures on wafers and substrates by polishing
US5985724A (en) * 1996-10-01 1999-11-16 Advanced Micro Devices, Inc. Method for forming asymmetrical p-channel transistor having nitrided oxide patterned to selectively form a sidewall spacer
US5674784A (en) * 1996-10-02 1997-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming polish stop layer for CMP process
US5858870A (en) * 1996-12-16 1999-01-12 Chartered Semiconductor Manufacturing, Ltd. Methods for gap fill and planarization of intermetal dielectrics
US6069069A (en) * 1996-12-16 2000-05-30 Chartered Semiconductor Manufacturing, Ltd. Method for planarizing a low dielectric constant spin-on polymer using nitride etch stop
US9221154B2 (en) 1997-04-04 2015-12-29 Chien-Min Sung Diamond tools and methods for making the same
US6679243B2 (en) 1997-04-04 2004-01-20 Chien-Min Sung Brazed diamond tools and methods for making
US6884155B2 (en) 1999-11-22 2005-04-26 Kinik Diamond grid CMP pad dresser
US7323049B2 (en) * 1997-04-04 2008-01-29 Chien-Min Sung High pressure superabrasive particle synthesis
US9409280B2 (en) 1997-04-04 2016-08-09 Chien-Min Sung Brazed diamond tools and methods for making the same
US9463552B2 (en) 1997-04-04 2016-10-11 Chien-Min Sung Superbrasvie tools containing uniformly leveled superabrasive particles and associated methods
US7368013B2 (en) * 1997-04-04 2008-05-06 Chien-Min Sung Superabrasive particle synthesis with controlled placement of crystalline seeds
US9238207B2 (en) 1997-04-04 2016-01-19 Chien-Min Sung Brazed diamond tools and methods for making the same
US7124753B2 (en) * 1997-04-04 2006-10-24 Chien-Min Sung Brazed diamond tools and methods for making the same
US9868100B2 (en) 1997-04-04 2018-01-16 Chien-Min Sung Brazed diamond tools and methods for making the same
US9199357B2 (en) 1997-04-04 2015-12-01 Chien-Min Sung Brazed diamond tools and methods for making the same
US6368198B1 (en) 1999-11-22 2002-04-09 Kinik Company Diamond grid CMP pad dresser
US5975994A (en) * 1997-06-11 1999-11-02 Micron Technology, Inc. Method and apparatus for selectively conditioning a polished pad used in planarizng substrates
KR100266749B1 (ko) * 1997-06-11 2000-09-15 윤종용 반도체 장치의 콘택 플러그 형성 방법
US6483157B1 (en) 1997-06-20 2002-11-19 Advanced Micro Devices, Inc. Asymmetrical transistor having a barrier-incorporated gate oxide and a graded implant only in the drain-side junction area
US6130471A (en) * 1997-08-29 2000-10-10 The Whitaker Corporation Ballasting of high power silicon-germanium heterojunction biploar transistors
US6040225A (en) * 1997-08-29 2000-03-21 The Whitaker Corporation Method of fabricating polysilicon based resistors in Si-Ge heterojunction devices
US6323119B1 (en) 1997-10-10 2001-11-27 Applied Materials, Inc. CVD deposition method to improve adhesion of F-containing dielectric metal lines for VLSI application
US6624064B1 (en) 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US6211065B1 (en) 1997-10-10 2001-04-03 Applied Materials, Inc. Method of depositing and amorphous fluorocarbon film using HDP-CVD
US6265749B1 (en) 1997-10-14 2001-07-24 Advanced Micro Devices, Inc. Metal silicide transistor gate spaced from a semiconductor substrate by a ceramic gate dielectric having a high dielectric constant
JP3514091B2 (ja) * 1997-11-17 2004-03-31 三菱マテリアル株式会社 気相合成ダイヤモンド薄膜の表面研磨方法
US6025244A (en) * 1997-12-04 2000-02-15 Fujitsu Limited Self-aligned patterns by chemical-mechanical polishing particularly suited to the formation of MCM capacitors
US6291334B1 (en) * 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
US6091131A (en) * 1998-04-28 2000-07-18 International Business Machines Corporation Integrated circuit having crack stop for interlevel dielectric layers
TW379416B (en) * 1998-05-04 2000-01-11 United Microelectronics Corp Method of manufacturing dual damascence
DE19829152A1 (de) * 1998-05-05 1999-11-18 United Microelectronics Corp Doppeltes Damaszierverfahren
US5990493A (en) * 1998-05-14 1999-11-23 Advanced Micro Devices, Inc. Diamond etch stop rendered conductive by a gas cluster ion beam implant of titanium
US6124620A (en) * 1998-05-14 2000-09-26 Advanced Micro Devices, Inc. Incorporating barrier atoms into a gate dielectric using gas cluster ion beam implantation
US6072222A (en) * 1998-05-18 2000-06-06 Advanced Micro Devices, Inc. Silicon implantation into selective areas of a refractory metal to reduce consumption of silicon-based junctions during salicide formation
US5907780A (en) * 1998-06-17 1999-05-25 Advanced Micro Devices, Inc. Incorporating silicon atoms into a metal oxide gate dielectric using gas cluster ion beam implantation
US6274442B1 (en) 1998-07-15 2001-08-14 Advanced Micro Devices, Inc. Transistor having a nitrogen incorporated epitaxially grown gate dielectric and method of making same
US6194768B1 (en) 1998-10-23 2001-02-27 Advanced Micro Devices, Inc. High dielectric constant gate dielectric with an overlying tantalum gate conductor formed on a sidewall surface of a sacrificial structure
US6225210B1 (en) * 1998-12-09 2001-05-01 Advanced Micro Devices, Inc. High density capping layers with improved adhesion to copper interconnects
US6159786A (en) * 1998-12-14 2000-12-12 Taiwan Semiconductor Manufacturing Company Well-controlled CMP process for DRAM technology
JP3259704B2 (ja) * 1998-12-30 2002-02-25 日本電気株式会社 半導体装置の製造方法
US6468135B1 (en) 1999-04-30 2002-10-22 International Business Machines Corporation Method and apparatus for multiphase chemical mechanical polishing
US6677637B2 (en) 1999-06-11 2004-01-13 International Business Machines Corporation Intralevel decoupling capacitor, method of manufacture and testing circuit of the same
TW418489B (en) * 1999-08-13 2001-01-11 Taiwan Semiconductor Mfg Manufacturing method of shallow trench isolation
JP2001085516A (ja) 1999-09-10 2001-03-30 Oki Electric Ind Co Ltd 半導体装置の製造方法
US7201645B2 (en) * 1999-11-22 2007-04-10 Chien-Min Sung Contoured CMP pad dresser and associated methods
US6376379B1 (en) * 2000-02-01 2002-04-23 Chartered Semiconductor Manufacturing Ltd. Method of hard mask patterning
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6348395B1 (en) 2000-06-07 2002-02-19 International Business Machines Corporation Diamond as a polish-stop layer for chemical-mechanical planarization in a damascene process flow
US6776917B2 (en) * 2001-01-03 2004-08-17 International Business Machines Corporation Chemical mechanical polishing thickness control in magnetic head fabrication
US6664026B2 (en) 2001-03-22 2003-12-16 International Business Machines Corporation Method of manufacturing high aspect ratio photolithographic features
US6887131B2 (en) * 2002-08-27 2005-05-03 Intel Corporation Polishing pad design
US6541397B1 (en) * 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
DE10222964B4 (de) * 2002-04-15 2004-07-08 Schott Glas Verfahren zur Gehäusebildung bei elektronischen Bauteilen sowie so hermetisch verkapselte elektronische Bauteile
DE10328842B4 (de) * 2003-06-26 2007-03-01 Siltronic Ag Suszeptor für eine chemische Gasphasenabscheidung, Verfahren zur Bearbeitung einer Halbleiterscheibe durch chemische Gasphasenabscheidung und nach dem Verfahren bearbeitete Halbleiterscheibe
US7508624B1 (en) 2003-08-01 2009-03-24 Lauer Mark A Transducers for perpendicular recording with write pole tip angled toward media
US7039300B2 (en) * 2003-12-19 2006-05-02 Carrier Corporation Identification of electric heater capacity
US7563381B2 (en) * 2004-04-30 2009-07-21 Hitachi Global Storage Technologies Netherlands B.V. High milling resistance write pole fabrication method for perpendicular recording
US7246424B2 (en) * 2004-04-13 2007-07-24 Seagate Technology Llc Magnetic devices having magnetic features with CMP stop layers
US7186348B2 (en) * 2004-06-30 2007-03-06 Hitachi Global Storage Technologies Netherlands B.V. Method for fabricating a pole tip in a magnetic transducer
US7089925B1 (en) 2004-08-18 2006-08-15 Kinik Company Reciprocating wire saw for cutting hard materials
US7186574B2 (en) * 2004-09-30 2007-03-06 Hitachi Global Storage Technologies Netherlands B.V. CMP process metrology test structures
US7081041B1 (en) 2005-02-28 2006-07-25 Hitachi Global Storage Technologies Netherlands B.V. Manufacturing method for forming a write head top pole using chemical mechanical polishing with a DLC stop layer
US9138862B2 (en) 2011-05-23 2015-09-22 Chien-Min Sung CMP pad dresser having leveled tips and associated methods
US8678878B2 (en) 2009-09-29 2014-03-25 Chien-Min Sung System for evaluating and/or improving performance of a CMP pad dresser
US9724802B2 (en) 2005-05-16 2017-08-08 Chien-Min Sung CMP pad dressers having leveled tips and associated methods
US8974270B2 (en) 2011-05-23 2015-03-10 Chien-Min Sung CMP pad dresser having leveled tips and associated methods
US8398466B2 (en) 2006-11-16 2013-03-19 Chien-Min Sung CMP pad conditioners with mosaic abrasive segments and associated methods
US8393934B2 (en) 2006-11-16 2013-03-12 Chien-Min Sung CMP pad dressers with hybridized abrasive surface and related methods
US8622787B2 (en) 2006-11-16 2014-01-07 Chien-Min Sung CMP pad dressers with hybridized abrasive surface and related methods
JP2008004161A (ja) * 2006-06-22 2008-01-10 Fujitsu Ltd 金属パターンの製造方法
CN101244533B (zh) * 2007-02-16 2010-09-15 香港应用科技研究院有限公司 超平坦化学机械抛光技术之方法及使用该方法制造的半导体组件
US20080254233A1 (en) * 2007-04-10 2008-10-16 Kwangduk Douglas Lee Plasma-induced charge damage control for plasma enhanced chemical vapor deposition processes
JP4476313B2 (ja) * 2007-07-25 2010-06-09 東京エレクトロン株式会社 成膜方法、成膜装置、および記憶媒体
US7846767B1 (en) 2007-09-06 2010-12-07 Chien-Min Sung Semiconductor-on-diamond devices and associated methods
TWI388402B (en) 2007-12-06 2013-03-11 Methods for orienting superabrasive particles on a surface and associated tools
US8252263B2 (en) * 2008-04-14 2012-08-28 Chien-Min Sung Device and method for growing diamond in a liquid phase
JP5220049B2 (ja) * 2010-03-09 2013-06-26 三菱電機株式会社 炭化珪素半導体装置の製造方法
CN103299418A (zh) 2010-09-21 2013-09-11 铼钻科技股份有限公司 单层金刚石颗粒散热器及其相关方法
US9018100B2 (en) 2010-11-10 2015-04-28 Western Digital (Fremont), Llc Damascene process using PVD sputter carbon film as CMP stop layer for forming a magnetic recording head
CN102543670A (zh) * 2010-12-13 2012-07-04 中国科学院微电子研究所 金属前介质层的平坦化方法
US9259818B2 (en) * 2012-11-06 2016-02-16 Sinmat, Inc. Smooth diamond surfaces and CMP method for forming
CN103909464B (zh) * 2013-01-09 2017-10-31 华邦电子股份有限公司 化学机械研磨方法与自我对准方法
CN113873738B (zh) * 2021-09-26 2024-01-12 中国工程物理研究院激光聚变研究中心 一种自支撑碳基电容器靶及其制备方法

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0665210B2 (ja) * 1985-04-17 1994-08-22 日本電気株式会社 基板の製造方法
US4944836A (en) * 1985-10-28 1990-07-31 International Business Machines Corporation Chem-mech polishing method for producing coplanar metal/insulator films on a substrate
US4671851A (en) * 1985-10-28 1987-06-09 International Business Machines Corporation Method for removing protuberances at the surface of a semiconductor wafer using a chem-mech polishing technique
JP2541214B2 (ja) * 1987-04-02 1996-10-09 ソニー株式会社 半導体装置の製造方法
DE69004932T2 (de) * 1989-10-25 1994-05-19 Ibm Verfahren zur Herstellung breiter mit Dielektrikum gefüllter Isolationsgraben für Halbleiteranordnungen.
US5094972A (en) * 1990-06-14 1992-03-10 National Semiconductor Corp. Means of planarizing integrated circuits with fully recessed isolation dielectric

Also Published As

Publication number Publication date
DE69214087T2 (de) 1997-04-03
US5246884A (en) 1993-09-21
EP0540444B1 (en) 1996-09-25
JPH05218000A (ja) 1993-08-27
EP0540444A1 (en) 1993-05-05
DE69214087D1 (de) 1996-10-31

Similar Documents

Publication Publication Date Title
JPH081899B2 (ja) 半導体装置を平坦化する方法
USRE39126E1 (en) Two-step chemical mechanical polishing process for producing flush and protruding tungsten plugs
US5679269A (en) Diamond-like carbon for use in VLSI and ULSI interconnect systems
US6350694B1 (en) Reducing CMP scratch, dishing and erosion by post CMP etch back method for low-k materials
JP3360350B2 (ja) 表面平坦化法
US5854140A (en) Method of making an aluminum contact
US6696759B2 (en) Semiconductor device with diamond-like carbon layer as a polish-stop layer
JP3216104B2 (ja) メタルプラグ形成方法及び配線形成方法
KR20000023003A (ko) 반도체 기판의 표면 평탄화 처리 방법 및 절연층에서의 전도 플러그 생성 처리 방법
JPH0745616A (ja) 半導体装置の製造方法
JPH11111656A (ja) 半導体装置の製造方法
KR100282240B1 (ko) 화학적기계연마법,화학적기계연마법에사용하는연마제및반도체장치의제조방법
KR20040030147A (ko) 평탄화 방법 및 전해 연마의 조합을 이용한 반도체 구조형성 방법
JP4540847B2 (ja) 高密度プラズマシステムを用いた半導体デバイスの平坦化方法
US6443807B1 (en) Polishing process for use in method of fabricating semiconductor device
JP3141939B2 (ja) 金属配線形成方法
KR20000058029A (ko) 연마방법 및 연마액
JP3047343B2 (ja) 半導体装置の製造方法
US7453152B2 (en) Device having reduced chemical mechanical planarization
US6867142B2 (en) Method to prevent electrical shorts between tungsten interconnects
US6727172B1 (en) Process to reduce chemical mechanical polishing damage of narrow copper lines
US6294471B1 (en) Method of eliminating dishing effect in polishing of dielectric film
US5936307A (en) Surface modification method for film stress reduction
US6881675B2 (en) Method and system for reducing wafer edge tungsten residue utilizing a spin etch
JPH07297193A (ja) 集積回路平坦化方法