JP2635267C - - Google Patents

Info

Publication number
JP2635267C
JP2635267C JP2635267C JP 2635267 C JP2635267 C JP 2635267C JP 2635267 C JP2635267 C JP 2635267C
Authority
JP
Japan
Prior art keywords
plasma
chamber
silicon
wafer
power
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
Other languages
Japanese (ja)
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Publication date

Links

Description

【発明の詳細な説明】 【0001】 【産業上の利用分野】 本発明はRFプラズマ処理反応装置に関し、より詳細には高周波(RF)エネ
ルギー源と関連するRF電磁波をプラズマに誘導結合するための多コイルアンテ
ナを用いるプラズマ反応装置に関する。 【0002】 【従来の技術】RFシステム CVD(化学蒸着)反応システムやRIE(リアクティブイオンエッチング)
反応システム等の第1の従来の半導体処理システムを考察する。これらのシステ
ムは約10−500KHz の低周波数から約13.56−40.68MHz の高周波数
までの高周波エネルギーを使用することがある。約1MHz 以下ではイオンと電子
は振動電界あるいはプラズマ中に発生する任意の定常状態の電界によって加速す
ることができる。このような比較的低い周波数においては、ウエハに発生する電
極シース電圧は通常1キロボルトピーク以上であり、これは200−300ボル
トの損傷しきい値よりはるかに高い。数MHz 以上では、電子は依然として変化す
る電界に追従できる。イオン量がこれより多くなると変化する電界に追従できず
、定常状態の電界によって加速される。この周波数範囲(および実用的なガス圧
と電力レベル)において、定常状態のシース電圧は数百ボルトから1,000ボ
ルト以上の範囲である。磁界−増強 RFシステムのバイアス電圧を低下させるための好ましい方法として、プラズ
マに磁界を加える方法がある。このBフィールドは電子をウエハの表面に近い領
域に閉じ込め、イオン束密度とイオン流を増大させ、したがって電圧およびイオ ンエネルギー条件が低減される。比較例として、二酸化けい素をエッチングする
ための代表的な非磁性RIE処理には13.56MHz で印加されるRFエネルギ
ー、体積10−15リットルの非対称系、50ミリトルの圧力および約(8−1
0)対1のアノード領域/ウエハ支持カソード領域比を用い、約800ボルトの
ウエハ(カソード)シース電圧を発生する。60ガウスの磁界を印加するとバイ
アス電圧が約25−30%、800ボルトから約500−600ボルトに低下し
、エッチング速度が約50%も増大する。 【0003】 しかし、ウエハに平行な定常Bフィールドを印加すると、EXBイオン/電子
ドリフトとそれに関連するウエハ全体にプラズマ密度勾配が発生する。このプラ
ズマ勾配によってウエハ上のエッチング、蒸着その他の膜特性の不均一が発生す
る。この不均一性はウエハの周囲で磁界を回転させることによって低減すること
ができ、この回転は通常永久磁石の機械的運動、あるいは90°ずれた直角位相
で駆動される電磁コイル対、あるいはコイル対の電流を磁界中で制御された速度
で歩進あるいはその他の運動をするように瞬間的に制御することによって低減す
ることができる。しかし、磁界の回転によって不均一勾配は低減されるが通常あ
る程度の不均一性は残る。 【0004】 さらに、コイルの設置、特に二つあるいはそれ以上の対になったコイルをチャ
ンバーに設置してコンパクトなシステムを構成することは困難であり、ヘルムホ
ルツコイル構成もしくは共通のロードロックを取り巻く個々の磁気増強された反
応装置チャンバーからなる複数チャンバーシステムを用いる場合特に困難である
。 【0005】 磁界の強度と方向を瞬時に選択的に変る能力を持ち、小型複数チャンバー反応
装置システムに用いるように設計された独特な反応装置システムがCheng その他
の名において同時譲渡された1989年6月27日付け米国特許第4,842,683 号
に開示されている。マイクロ波/ECRシステム マイクロ波システムおよびマイクロ波ECR(電子サイクロトロン共鳴)シス
テムでは、800MHz 以上で通常2.45GHz の周波数のマイクロ波エネルギー
を用いてプラズマを励起する。この技術によって高密度のプラズマが発生するが
、粒子エネルギーは二酸化けい素の反応イオンエッチング等の多くの処理に対す
る最小反応しきい値エネルギーより低い場合がある。これを補償するために、エ
ネルギー増強用の低周波電力がウエハ支持電極に結合され、またウエハを通して
プラズマに結合される。このようにして、ウエハの損傷の可能性は従来のシステ
ムに比べて小さくなる。 【0006】 エッチングあるいはCVDといった半導体ウエハ処理のための実用的な電力レ
ベルで動作するマイクロ波システムおよびマイクロ波ECRには電力送出用の大
型導波管、高価な同調器、方向性結合器、サーキュレータ、および動作用のダミ
ー負荷を必要とする。さらに、2.45GHz の商用周波数で運転されるマイクロ
波ECRシステムのECR条件を満足するために、875ガウスの磁界が必要と
なり、これには電磁石、電力および冷却仕様も大きくする必要がある。 【0007】 マイクロ波システムおよびマイクロ波ECRシステムの拡大・縮小は容易では
ない。ハードウェアは2.45GHz について利用可能である。それはこの周波数
がマイクロ波オーブンに用いられるためである。915MHz のシステムも利用可
能であるがコストが高くなる。他の周波数用のハードウェアは容易にあるいは経
済的に入手することができない。その結果、5−6インチのマイクロ波システム
を拡大してより大きな半導体ウエハを処理しようとする場合、より上位の動作モ
ードが必要である。このより上位のモードでの動作による一定周波数における規
模拡大にはより上位のあるいはより下位の負荷へのいわゆるモードフリッピング
とその結果発生する工程の変化を防止するための非常に厳格な工程管理が必要と
なる。あるいは、たとえば5−6インチのマイクロ波空洞については発散磁界を
用いてプラズマ束をより広い範囲に拡散させることによってこの規模の拡大を達
成することができる。この方法によれば有効電力密度したがってプラズマ密度が
低下する。 RF伝送線システム 前述したように、発明者 Collinsその他の名で1990年7月31日同時譲渡
された『VHF/UHF反応装置システム』と題する親米国特許出願559,9
47号(AMATファイル151−1)をここでは参照している。この出願では
反応装置チャンバー自体の一部が整合ネットワークからチャンバーに高周波プラ
ズマ発生エネルギーを印加するための伝送線構造として構成された高周波VHF
/UHF反応装置システムを開示している。この独特の一体型伝送線構造は、整
合ネットワークと負荷との間の非常に短い伝送線要求を満たし、50MHz から8
00MHz の比較的高い周波数の仕様を可能にする。これはプラズマ電極へのRF
プラズマ発生エネルギーの効率的で制御可能な印加を可能にし、比較的低いイオ
ンエネルギーと低いシース電圧で商業的に許容できるエッチング速度および蒸着
速度を発生させる。この比較的低い電圧によって電気的に敏感な小さな寸法形状
の半導体装置への損傷の可能性が低下する。このVHF/UHFシステムは上述
した拡大・縮小の可能性や電力上の制約といった従来の技術における他の種々の
問題点を防止する。 【0008】 【発明が解決しようとする課題】 高密度集積化の趨勢は、電気的に敏感で約200−300ボルト程度の小さな
ウエハシース電圧にさらされるときエネルギー粒子衝撃のために損傷を受けやす
い非常に小さな寸法形状の部品や装置をもたらした。残念ながら、かかる電圧は
回路部品が標準的な集積回路製造工程中に経験する電圧より小さい。 【0009】 先端装置用に製造されるMOS型コンデンサおよびトランジスタは非常に薄い
(厚さ200オングストローム以下)のゲート酸化物を有する。これらの装置は
充電によって損傷することがあり、それによってゲート破壊が発生する。これは
表面電荷の中性化が起こらないときに、プラズマ電位あるいはプラズマ密度の不
均一によって、あるいは大きなRF変位電流によってプラズマ処理中に発生する
ことがある。中間接続線等の導体もまた同じ理由で損傷を受ける場合がある。 【0010】 【課題を解決するための手段】 従来技術の問題点を解決する本発明は、プラズマ源領域と処理領域を有する真
空チャンバー、RF電極エネルギーを処理チャンバー内に誘導結合する手段であ
り、チャンバー内にたとえばこの結合手段にあるいは結合手段の下流に位置する
半導体ウエハ等の物品を製作するための結合手段、処理領域中のRFカソード、
チャンバーの壁によって形成されるアノード、およびプラズマ処理を増強するた
めの電気的に浮動する、あるいは接地された、あるいはRFバイアスに接続され
たプラズマ源領域電極からなるRFプラズマ処理システムの構造と動作に実施さ
れる。プラズマ源領域電極もしくはプラズマ源領域を形成するチャンバーの壁の
構造には酸化物エッチング等の処理を増強するためのけい素を含めることができ
る。 【0011】 好適には、100KHz から100MHz の範囲内のLF/VHF(低周波数から
非常に高い周波数まで)RF電力が用いられる。より好適には、100KHz から
10MHz の範囲内のLF/HF電力が用いられる。最も好適には、300KHz か
ら3MHz の範囲内のMF(中周波)電力が用いられる。好適には、結合手段はコ
イルをほどいた電気長がλ/4より小さい多重巻円筒状コイルアンテナであり、
λはプラズマ動作中にコイルアンテナに印加される高周波RF励起エネルギーの
波長である。 【0012】 また、この発明はアンテナを共振に同調するためのアンテナに接続された手段
、およびプラズマ源の入力インピーダンスをアンテナのためのRFエネルギーを
供給する手段の出力インピーダンスに整合させるためのアンテナに接続された負
荷手段を含む。この同調手段はアンテナの一端とRFアースの間に電気的に接続
された可変キャパシタンスとすることができる。RFエネルギーはコイルアンテ
ナ上の選択された位置にタップを介して印加することができる。 【0013】 このシステムはまたプラズマ源領域を形成する誘電体ドームあるいは誘電体シ
リンダーを含む。コイルアンテナが高周波電磁エネルギーをチャンバー内に誘導 結合するためにドームを取り巻くことが好適である。製作される物品はプラズマ
源領域あるいはドーム内に、アンテナの巻線あるいは最下部の巻線の内部にある
いは近接して、あるいは好適にはアンテナの下流に配置することができる。 【0014】 また、この発明はドームの上部のガス取入口、ドームのプラズマ源領域の基部
の第1のリングマニホルド、およびウエハ支持電極を取り巻く、チャンバーに処
理用の希釈剤、パッシベーション、その他のガスを選択的に供給するための第2
のリングマニホルドからなるチャンバーにガスを供給する手段を含む。 またさらに、交流電源および制御システムが、通常プラズマ源コイル電力と同
じかそれに近い周波数である交流バイアス電力をウエハ支持カソードに結合し、
それによってプラズマ源高周波電力によって行われるプラズマ密度制御から独立
してカソードシース電圧とイオンエネルギーの制御を行う。このシステムは多数
の目的を果たすように選択されたバイアス周波数を提供する。まず、周波数の上
限は“電流に誘起される”損傷(周波数が高すぎる場合敏感な装置にチャージア
ップ損傷が発生することがある)を防止するように選択される。周波数の下限は
部分的には“電圧に誘起される”損傷を排除するように選択される。バイアス周
波数が低いほど単位バイアス電力あたりのウエハシース電圧(基板の加熱を除く
)は高くなり、プラズマ密度に対する貢献は小さく、したがってイオン密度とイ
オンエネルギーの独立的制御が向上する。しかし、バイアス周波数が低すぎると
イオンがウエハシース電界のRF成分に追従し、それによってイオンエネルギー
が変化する。その結果、ピーク/平均エネルギー率が高くなり、イオンエネルギ
ー分布が広くなる(2ピーク)。バイアス周波数が非常に低いと、絶縁体のチャ
ージアップが発生し、バイアス周波数期間の一部においてイオン誘起処理を不能
とする。上記の要注意事項を満足する好適な周波数範囲はプラズマ源周波数範囲
に対応する。すなわち、好適には100KHz から100MHz の範囲内のLF/V
HF(低周波数から非常に高い周波数まで)電力が用いられる。より好適には、
100KHz から10MHz の範囲内のLF/HF電力が用いられる。最も好適には
、300KHz から3MHz の範囲内のMF(中波)電力が用いられる。 【0015】 この発明はさらに直流バイアス電圧を選択された低い値と高い値の間の周期的
なパルスにして、ウエハ上の第1の選択された材料の上に不動態化被覆を形成す
る制御手段を含み、その材料のエッチング速度を比較的低くし、また第2の選択
された材料を比較的高い速度と選択度で選択的にエッチングするようにしている
。 【0016】 また、チャンバーはチャンバー本体に接続された第1の真空ポンプ手段とドー
ムに接続された第2の真空ポンプ手段によって空にされ、ドーム外への中性粒子
の流れを確立するためのドーム内の垂直方向の圧力差を確立するようにしており
、ウエハ支持電極の電圧は帯電した粒子がチャンバー本体に向かって流れるよう
にこの圧力差を克服するに十分なものとなっている。 【0017】 また、この発明にはコイルアンテナまたはその他の結合手段とチャンバーとの
間に介装され、高周波電磁エネルギーの電界成分のチャンバーへの結合を防止す
る異なる構成の導電性ファラデーシールドが含まれる。また、コイルあるいは他
の結合手段を取り囲むように配置された高周波反射器によって、高周波エネルギ
ーの放射をチャンバー内に集中する。 【0018】 磁気の増強は周辺の永久磁石あるいは電磁石構成によって与えられ、これらは
均一な発散する磁性ミラー構成から選択されたアンテナの軸に平行な制御された
静磁界を加え、ウエハの下流のプラズマの位置と移動を制御する。また、磁石を
プラズマ源もしくはチャンバーの周囲に取り付けてウエハの近傍でチャンバーに
多極カスプ磁界を加えて、これによってプラズマをウエハ領域に閉じ込めると同
時にウエハの磁界を大幅に排除することができる。さらに、磁気分路をウエハと
ウエハ支持電極の周囲に配置して、ウエハ支持電極からの磁界の方向転換するこ
とができる。 【0019】 このシステム構成は、動作周波数を選択することによって低モード動作を維持
しながらその大きさを拡大・縮小することを可能とする。 処理上の他の側面としては、この発明はプラズマ源領域と処理領域を有する真
空チャンバーを提供するステップ、物品を処理領域中の電極上に支持するステッ
プ、チャンバーに処理用のガスを供給するステップ、電気長がλ/4より小さい
一つ以上の巻線の円筒状コイルアンテナを用い(λはアンテナに加えられるRF
エネルギーの波長)、RFエネルギーをプラズマ源領域に誘導結合して前期の物
品の上に一つあるいはそれ以上の材料を製作するためのプラズマを発生させるス
テップ、および支持電極を介してRFエネルギーをチャンバーに容量性結合して
支持電極のシース電圧を制御するステップからなるプラズマ発生処理に実施され
る。 【0020】 また、この方法は共振に対するアンテナの自動的反復的同調とその入力インピ
ーダンスのアンテナに対するRFエネルギー供給源のインピーダンスへのローデ
ィングを含む。 また、このプラズマ発生処理はプラズマ源領域と処理領域および壁、処理領域
中の電極およびプラズマ源領域中の電極を有する真空チャンバーを提供するステ
ップ、処理領域中の電極、チャンバーの壁およびプラズマ源電極を電気的に接続
するステップ(処理領域電極がカソード、壁がアノード、そしてプラズマ源電極
の電気的接続はアース、浮動およびRFあるいは直流バイアスから選択される)
、物品を処理領域中の電極上に支持するステップ、チャンバーに処理用のガスを
供給するステップ、電気長がλ/4より小さい一つ以上の巻線の円筒状コイルア
ンテナを用い(λはアンテナに加えられるRFエネルギーの波長)、RFエネル
ギーをプラズマ源領域に誘導結合して前期の物品の上に一つあるいはそれ以上の
材料を製作するためのプラズマを発生させるステップ、および支持電極を介して
RFエネルギーをチャンバーに容量性結合して支持電極のシース電圧を制御する
ステップからなる。 【0021】 プラズマ源電極とプラズマ源領域中のチャンバーの壁のうち少なくとも一方は
けい素あるいはけい素を含むものとすることができ、プラズマ源電極はけい素を
プラズマ中に解放して処理を向上させるためにRFバイアスすることができる。 また、電極に供給されるアンテナ電力とバイアス電力は異方性、半異方性およ
び等方性エッチングを選択的に行うように制御される。 【0022】 この方法には、けい素中での二酸化けい素のエッチング、けい素増強の使用、
もしくは選択度とエッチングプロファイルの向上のためのCOやCO2等の添加
物の使用が含まれる。この方法では、バイアス電圧を、けい素上にエッチング抑
制層を形成するための選択された低い値、および酸化けい素をけい素に対して速
い速度でエッチングするための高い値に周期的に駆動する。 【0023】 また、この方法には、酸化けい素のスパッタ蒸着および、まず酸化けい素を蒸
着するために比較的低いレベルのRF電力を支持電極に印加するステップ、第2
に酸化けい素を蒸着し平面化する網スパッタファセット用の支持電極に比較的高
いレベルのRF電力を印加するステップが含まれる。 方法の具体的な側面は、ポリシリコン(多結晶けい素)の上に形成された酸化
物中の接触穴のエッチングとアルミニウム上に形成された酸化物中の穴を介した
エッチング、酸化けい素とポリシリコンのいわゆる“軽い”エッチング、高速の
等方性および異方性酸化物エッチング、ゲート等のポリシリコン導体のエッチン
グ、フォトレジストの除去、単結晶けい素の異方性エッチング、異方性フォトレ
ジストエッチング、窒化物とオキシ窒化物の低圧プラズマ蒸着、酸化物、オキシ
窒化物および窒化物の高圧等方性コンフォーマル蒸着、アルミニウムおよびチタ
ン等の金属とその化合物および合金のエッチング、およびスパッタファセットの
平面化を伴う局部的・全体的蒸着といった酸化物のエッチングを含むが、酸化物
のエッチングには限定されない。 【0024】 【実施例】 1.概要 図1−図3は半導体ウエハ5を加工するための、誘導プラズマ源装置、磁気増
強したプラズマ源装置、容量性結合されたバイアス装置、および本発明の他の側 面を用いるプラズマ反応装置チャンバーシステム10の概略断面図である。この
三つの図はこのシステムの好適な特徴とその他の特徴を示す。図面スペースの制
約から三つの図面を用いる。この例示したチャンバーは一体型伝送線構造を有す
る同時係属中の一部継続出願中の願書に図示したものの変更態様である。この発
明の重要な特徴はプラズマ反応装置チャンバーに広く適用することができる。さ
らに、当該技術に精通する者には、また以下の説明から、反応装置システムの性
能を向上させるこの発明のさまざまな特徴は個別に利用することもでき、また選
択的にシステムから省くこともできる。たとえば、誘導プラズマ源装置と容量性
結合されたバイアス源によってて提供される加工条件によって磁気増強が不要と
なることが多い。 【0025】 例示するシステム10は側壁12、頂壁13、底壁14を有する陽極処理した
アルミニウムその他の適当な材料で形成した真空チャンバーハウジング11を含
む。陽極処理したアルミニウムはアークとスパッタリングを抑制するため好適で
ある。しかし、この加工に適したポリマー、石英、あるいはセラミックのライナ
ーの付いたあるいはそれが付いていない裸のアルミニウム等の他の材料を用いる
こともできる。頂壁13は壁12−12の間に形成された下部チャンバーウエハ
加工部16Bとドーム17によって形成された上部チャンバープラズマ源部16
Aの間の中央開口部15を有する。このドームは好適には石英やその他のアルミ
ナやアルファアルミナ(サファイア)等のいくつかの誘電体材料のような誘電体
材料によって形成される反転した単一壁あるいは二重壁のカップとして構成する
ことができる。図1に示す実施例では、ドーム17は石英等の誘電体の円筒状の
壁17Wと通常アルミニウムあるいは陽極処理したアルミニウム製のカバーある
いは頂壁17Tからなる。選択度の高い酸化物エッチングといった目的のために
は、けい素の、あるいはけい素を含有する頂壁手段およびけい素で覆ったドーム
の側壁が好適である。 【0026】 図1に示すように、チャンバーハウジング11(チャンバー16)の内部の減
圧排気は、底壁14に接続された一つあるいはそれ以上の真空ポンプからなる真 空ポンプシステム21につながった真空線19中の絞り弁18(流量と無関係に
圧力を調整する)によって制御される。 10節に説明するように、チャンバーの壁とドームを含むチャンバー構成要素
は加工性能のために加熱もしくは冷却することができる。たとえば、ドームは液
体あるいはガスの伝熱媒体によって加熱あるいは冷却することができ、あるいは
加熱要素を用いて直接ドームを加熱することができる。 【0027】 2節に示しまた図2に図示するように、プロセスガス、パージガス、希釈剤そ
の他は、プラズマ源(ドーム)の基部、プラズマ源の頂部プレート17T、およ
びウエハの周辺にそれぞれ配置された三つのマニホルド注入源G1,G2および
G3によっンバーに供給することができる。これらのガスはたとえば一つあるい
はそれ以上の加圧ガス源からコンピュータ制御された流れ制御装置(図示せず)
を介してチャンバー11に供給される。主吸気マニホルドG1においては、ガス
は頂壁13の内部に取り付けたあるいは頂壁13と一体の石英リングガスマニホ
ルド51を介して、22に示すように内部真空加工チャンバー16に入る。マニ
ホルド23は好適にはRFエネルギーの印加後にエッチングもしくは蒸着プラズ
マを発生させるためにチャンバー部16B,16Aに対してわずかに上向きの角
度でエッチングガスもしくは蒸着ガスを供給する。ドーム17の頂部プレート1
7T中の頂部マニホルド装置G2は反応性ガスあるいはその他のガスをチャンバ
ー16い取り入れるのに用いることができる。また、ウエハの周辺に反応性ガス
およびその他のガスを供給するマニホルド装置63を設けることもできる。 RFエネルギーはRF供給および整合ネットワーク31によって給電される少
なくとも1回巻のアンテナ30あるいはコイルからなるプレート源によってドー
ムに供給される。アンテナ30は好適には複数回巻の円筒状構成を有する。コイ
ル30はある一定の周波数およびプラズマ源(コイル)径に対する最小導体電気
長を規定し、好適には動作周波数において1/4波長(<λ/4)以下の電気長
を有する。アンテナ30自体は共振器ではないが、ファラデーの誘導結合の法則
によってプラズマ源との有効な誘導結合を行うために5節に説明するように共振
に同調される。 【0028】 好適には、チャンバープラズマ源部16Aからのガスの流れはウエハ5に向か
って下向きに流れ、次にウエハから径方向に外向きに引き出される。この目的の
ために、カソード伝送線構造32の周り、一方の側のチャンバー壁12と他方の
側の外側伝送線導体320の間および底部のチャンバー底壁14と頂部の導電性
ポンピングスクリーン29の間の環状の真空マニホルド33を形成することがで
きる。マニホルドスクリーン29は真空マニホルド33とウエハ加工チャンバー
16Bの間に介装され、チャンバー壁12と伝送線構造32の外側導体320の
間に導電路を提供する。マニホルド33はウエハ5の周辺からの排出ガスの均一
な径方向の引き出しを行うための環状のポンピングチャンネルを形成する。排出
マニホルド33は排出ガスシステム線19に連通している。ガスの流れはマニホ
ルドG1からの通路22に沿ってドーム/プラズマ源に向かうもしくはマニホル
ドG3からの通路26に沿ってウエハ5に向かって径方向に内向きに流れる。全
体的なガスの流れは通路34に沿って上部チャンバープラズマ源部16Aからウ
エハ5に、通路3に沿ってウエハからスクリーン29を通って排気マニホルド3
3、そして通路37に沿って排気マニホルド33から排気システム21に向かう
。導電性マニホルドスクリーン29とカソード伝送線構造はオプションであるこ
とに注意しなければならない。通常、対象となる周波数の低い側では波長が非常
に長く、したがって伝送線構造は不要である。 【0029】 これは従来のRFシステムの構成とは対照的であり、RF電力は二つの電極、
通常その上面がウエハ5を支持するウエハ支持電極32Cと反応装置チャンバー
の側壁12、頂壁13もしくはマニホルド23である第2の電極との間に印加さ
れる。 すなわち、アンテナ30はドーム17とプラズマチャンバー16Aの外側のそ
れらに隣接する位置に配置され、RF電磁(em)エネルギーをプラズマ源チャ
ンバー16Aに結合してプロセスガスに電界を誘起するようになっている。ファ
ラデーの誘導結合の法則から、emエネルギーの変化するB(磁気)成分はプロ
セスガスを付勢してチャンバー16内に比較的密度が高くエネルギーイオンが低 いという特徴を有するプラズマを形成する(参照符号16はチャンバー16A、
16Bおよびプラズマを集合的に指す。)。このプラズマはドーム17中でコイ
ルアンテナ30内に形成された小さな容積に集中されて発生する。イオン、電子
、遊離基および励起中性物等を含む活性種が拡散とここに説明するガス流による
バルクフローによってウエハに向かって下流に移動する。また、7節に説明する
ように、適当な磁界を用いて次に説明するようにウエハに向かうイオンや電子を
抽出することができる。これはオプションであるが、プラズマ源42とバイアス
整合ネットワーク43からなる図1のバイアスエネルギー入力装置41はRFエ
ネルギーをウエハ支持電極32Cに結合して、ウエハのプラズマシース電圧を選
択的に増大させそれによってウエハのイオンエネルギーを選択的に増大させるの
が好適である。 【0030】 基本的には底部の開放した箱である反射器45はアンテナをその頂部と側面部
において囲んでいるが、アンテナの底部は囲まない。この反射器はRFエネルギ
ーの自由空間への放射を防止し、それによってプラズマ中の電力の放射や散逸を
集中して効率を高めている。 7節に詳細に説明するように、図3のファラデーシールド45はアンテナ30
の内部、上および下に配置することができ、磁界がプラズマに結合するのを可能
にするが直接電界結合を不能にしている。直接電界結合はプラズマに傾斜や不均
一を誘起する恐れがある。あるいは荷電粒子を高エネルギーに加速する恐れがあ
る。8節に説明するように、ウエハ5におけるプラズマ密度の向上、ウエハへの
イオンの搬送、あるいはプラズマの均一性の向上のために、オプションとして図
2の一つあるいはそれ以上の電磁石47−47、あるいは永久磁石をチャンバー
の囲い11に近接して取り付けることができる。 【0031】 4節に詳細に説明するように、この発明には通常マイクロ波あるいはマイクロ
波ECR周波数よりはるかに低い周波数の誘導結合された電磁エネルギーの磁力
成分を用いて、潜在的に損傷を与える恐れのある高出力RFエネルギーをウエハ
5に結合することなく高密度かつ比較的低エネルギーという特徴を持つプラズマ を生成するために真空チャンバー内に円形の電界を誘起する。図示する好適な下
流プラズマ源構成では、RFエネルギーはウエハから離れて高プラズマ密度で完
全に吸収され、波がウエハに伝搬せずしたがって損傷の可能性を最小限にするよ
うにしている。RFバイアスエネルギーは必要に応じてウエハシース電圧、した
がってイオンエネルギーを増大させるために選択的に印加される。 【0032】 チャンバー11は総チャンバー圧約0.1mtから約50トル、、通常エッチン
グには0.1mtから200mtを用いて半導体ウエハの加工(蒸着およびエッチン
グ)を行うことができる。このチャンバーは5ミリトルより低い圧力で動作する
ことができ、実際に2ミリトルで正常に動作した。しかし、ある種の加工には、
ポンピング速度と流量が増大するという点で高い圧力が好適である。たとえば、
酸化物エッチングには約5mT(ミリトル)から約50mTの圧力範囲が好適である
。このような比較的高い圧力では、プラズマ源とウエハの間隔を小さくしなけれ
ばならない。この発明のチャンバーはウエハ5とアンテナ30の最下部の巻の間
の間隔と約5cm/2in.と非常に適切な小さい間隔dにしたとき、敏感な装置に
対するチャージアップ損傷を生じることなく良好に動作した。したがって、この
ような非常に小さい間隔にすることの利点、すなわちエッチング速度と選択度の
向上、ある一定のエッチング速度に対するバイアス電圧およびイオンエネルギー
条件の低減、およびウエハ上のエネルギーの均一性の向上が達成される。たとえ
ば、ウエハ5とソースアンテナ30の間隔dを10cm/in.(これ自体小さい間
隔である)から5cm/2inに縮小すると、必要電圧は半分になり均一性は約2.
5%から約1%に上がった。 2.多重ガス注入 前述したように、このチャンバーには反応性ガス、パージガス、その他を異な
る場所に注入してそれぞれの加工(エッチング、蒸着その他)の条件とその加工
に用いられる材料に応じて加工を向上させるための複数のガス注入源G1,G2
,G3(図2)が内蔵されている。まず、チャンバーはプラズマ源領域16Bの
基部/底部の周囲に標準の径方向ガス分配システムG1を有する。好適な構成で
は、G1注入システムはプラズマ源の底部の石英ガス分配リング51とこのリン グにガスを供給する分配チャンネルを形成する周辺環状マニホルド52からなる
。このリングは内側を向いた径方向の穴53−53を有し、好適には中空陰極放
電を防止するため前記の穴に挿入される階段状の焼結セラミック多孔性ガス拡散
プラグ54−54を有する。 【0033】 第2のガス注入装置G2は多孔性セラミック拡散ディスク57を詰めた中央吸
気穴56を有する陽極処理したアルミニウム等の材料でできた接地された、ある
いは浮動する、あるいはバイアスされたドーム頂部プレート17Tからなる。 第3のガス注入源G3はウエハ5の周辺に取り付けたリング状吸気マニホルド
58(あるいはウエハを支持受台に保持するのに用いられるクランプリング(図
示せず)に内蔵されたガス取入口)からなる。 例:ポリマー増強された選択度を用いたポリシリコン上の酸化けい素エッチング 上記の通り、エッチャントおよび蒸着ガス、不動態化ガス、希釈ガスその他か
ら選択されたさまざまな種類のガスをG1からG3までの一つあるいはそれ以上
の供給源からチャンバーに供給して特定のエッチング・蒸着処理および材料の必
要条件を満足することができる。たとえば、この誘導ソースアンテナ30は非常
に高い密度のプラズマを提供し、チャンバーのドームプラズマ源領域16A中の
ガスの解離に非常に有効である。したがって、ポリマーを形成する種類のガスが
G1あるいはG2を介してドームに供給されると、解離度の高いガスがポリシリ
コンのコーティングを犠牲にしてドームの内部をコーティングすることができる
。あるいはこのガスの解離度が非常に高く保護コーティングを行うべきポリシリ
コン表面に付着しない場合もある。この解決法として、C2F6あるいはCF4といっ
たエッチャントをG1あるいはG2を介して、あるいはG1とG2を介してプラ
ズマ源領域16Aに取り入れ、CH3FあるいはCHF3といったポリマーを形成するガ
スを吸気口G3から供給して破壊的な解離を生じることなくポリシリコン上に選
択的にポリマーを形成する方法がある。 例:けい素含有ガスの化学作用を用いたポリシリコン上の酸化けい素エッチング ソース領域のガスの解離度が高いため、ふっ素含有ガス(ふっ素が炭素と結合
したものも含む)は通常、けい素をエッチングし、したがって酸化物に対するエ ッチング選択度を低下させる遊離ふっ素を生成する。高い選択度が要求されると
きは、けい素含有添加ガスを注入してこの遊離ふっ素の活動を止めてけい素エッ
チングを少なくする。エッチャントガスとけい素含有添加ガスはG1とG2を介
して別々に導入することができる。あるいは、G1もしくはG2を介して混合物
として導入することができる。適切なふっ素消費けい素含有添加ガスとしては、
シラン(SiH4)、TEOS、ジエチルシランおよび四ふっ化けい素(SiF4)等が
ある。 【0034】 ふっ素消費ガスとポリマー形成添加ガスを同じ処理に用いてエッチングの選択
度を向上させることができる。 例:酸化けい素蒸着 蒸着速度は酸素含有ガスおよびO2やAr2等の希釈剤をG1もしくはG2を介
して供給することによって、またSiH4等のけい素含有ガスをG3を介して供給す
ることによって向上させることができる。 3.差動ポンピング 図2は代替の真空ポンピング構成を示す。チャンバーの底部、あるいはその近
傍に接続される真空ポンピングシステム21に加えて、真空ポンプ39が線38
を介してドーム17内のプラズマ源領域16Aに接続される。ポンピングシステ
ム39および21の流量は、それらがプラズマ源領域16Bに垂直方向に圧力差
ΔPpを発生させるように選択される。この圧力差ΔPpは(1)プラズマ源16
Aからウエハ5への非荷電粒子の移動を防止し、また(2)バイアス電圧によっ
て電子やイオンといった荷電粒子に加えられる力Fbより小さい。ΔPpのために
、基のような非荷電粒子はウエハ5に到着せず、むしろ主として頂部真空接続部
38から流出する。FDC>ΔPpであることから荷電電子および荷電イオンは主
として加工領域に流れる。この方法はイオンではなく基を選択的にウエハ加工領
域外に置きたいとき有効であることは明らかである。この状況はたとえば、(1
)ポリマー形成ガスの化学作用を用いるが、ポリマーがプラズマ源領域で形成さ
れチャンバーの側壁に付着する、もしくは所望のウエハ面に良好に付着しない場
合、もしくは(2)プラズマ源領域にふっ素基が形成される場合に起こる。 4.RF電力、上部およびバイアスプラズマ源 1)上部あるいはアンテナプラズマ源 図1において、好適には上部プラズマ源30のRF電源31の動作周波数は密
度の高いプラズマを発生して敏感な装置への損傷を最小限とし、RF電力のプラ
ズマへの効率的な誘導結合を提供するように選択される。すなわち、この動作範
囲の上の周波数は“電流によって誘起される”損傷を最小限にするように制限さ
れる。動作周波数の下限はプラズマへのRF電力結合の効率が上がるように選択
される。好適には、約100KHz から約100MHz までの範囲内のLF/V
HF(低周波数から非常に高い周波数まで)交流電力が用いられる。より好適に
は、約100KHz から約10MHz までの範囲内のLF/HF(低周波数から
高周波数まで)電力が用いられる。最も好適には、約300KHz から約3MH
z までの範囲内のMF(中波)電力が用いられる。 2)下部あるいはバイアスプラズマ源 ウエハ支持カソード32Cの交流電源42はRF電力をプラズマに誘導結合し
て、それによって高周波電力によって行われるプラズマ密度制御から独立して制
御されるカソードシース電圧およびイオンエネルギー等を含むさまざまな要素の
制御を行う。バイアス周波数は多くの目的を達成するように選択される。まず、
周波数の上限は敏感な装置への電流によって誘起されるチャージアップ損傷を防
止するように選択される。低い周波数が部分的には電圧によって誘起される損傷
を排除するために選択される。また周波数バイアスが低ければ、基板の単位バイ
アス電圧あたりのウエハシース電圧(加熱を除く)は高くなり、プラズマ密度へ
の貢献は少なく、したがってイオン密度とイオンエネルギーの独立的制御が向上
する。しかし、バイアス周波数が低すぎると、イオンがウエハシース電界のRF
成分に追従し、それによってイオンエネルギーが変調される。その結果、ピーク
/平均エネルギー比が高くなり、また(ピーク間)イオンエネルギー分布が広く
なる。バイアス周波数が非常に低いと、絶縁チャージアップが発生し、バイアス
周波数制御の一部においてイオンによって誘起される処理を不能にする。 【0035】 本出願人は以上の要注意事項が、プラズマ源周波数範囲に対応するバイアス周
波数範囲を用いることによって満足されることを発見した。すなわち、好適には
バイアス電力は約100KHz から100MHz (LF/VHF周波数)の範囲
内である。より好適にはバイアス電力の周波数は約100KHz から10MHz
(LF/HF周波数)の範囲内である。最も好適にはバイアス電力の周波数は約
300KHz から3MHz (MF周波数)の範囲内である。 3)上部プラズマ源とバイアスプラズマ源の連結動作 この発明の好適な特徴は電源42によって供給される下部あるいはバイアス電
力を自動的に変更して一定のカソード(ウエハ)シース電圧を維持することであ
る。非対象性の高いシステムにおいては低圧(<500mt)では、カソード32
Cで測定される直流バイアスはカソードシース電圧の近似値である。下部電力は
一定の直流バイアスを維持するために自動的に変更することができる。下部ある
いはバイアス電力のプラズマ密度とイオン流密度に対する影響は非常に小さい。
上部あるいはアンテナ電力はプラズマ密度と電流密度に非常に大きく影響するが
、カソードシース電圧に対する影響は非常に小さい。したがって、プラズマ密度
とイオン流密度を規定するには上部電力を用い、カソードシース電圧を規定する
には下部電力を用いるのが望ましい。 【0036】 それにもかかわらずアンテナ30を駆動するプラズマ源31の高周波はマイク
ロ波あるいはマイクロ波ECRアプリケーションに用いられる周波数よりはるか
に低いため、より安価な電源によってより低い直流電流で作動されるオプション
のより小さい磁石を用いることもできる。この場合関連する熱負荷も小さくなる
。さらに、以上の説明から明らかなように、導波管の代わりに31C等の同軸ケ
ーブルを用いることができる。さらに、他の磁気増強されたあるいは磁気補助さ
れたシステム中のE×B電子ドリフトによって引き起こされたプラズマの不均一
はここには存在しない。これは、印加される磁界(アンテナ30を介して引火さ
れるHFフィールドの磁気成分と磁石81によって印加される任意の静磁界の両
方)はカソードの電界とほぼ平行である。したがってこのシステムにはE×Bド
リフトはない。 【0037】 透磁率の高い材料で形成した磁気分路を用いてプラズマ源(上部チャンバー1
6A)にBフィールドを発生させウエハには発生させない。 またオプションとして、永久磁石あるいは電磁石を、下部チャンバー16Bの
周囲の通常N−S−N−S…N−Sという交互の磁極構成の多極配列に置いてプ
ラズマ源もしくはチャンバー壁に多カスプ磁気ミラーを生成することができる。
磁石は垂直の棒磁石あるいは好適にはたとえば水平なリング磁石とすることがで
きる。かかる磁石は壁への電子損失を低減し、それによってウエハを磁界にさら
すことなくプラズマ密度とプラズマの均一性を向上させるのに用いることができ
る。 4)RF電源の結合と同期 上述したように、上部あるいはアンテナRF電源の動作の好適な周波数と下部
あるいはバイアスRF電源の動作の好適な周波数は好都合なことに同じ範囲に入
っている。ここで選択することのできる構成として、これらの二つのRF電源を
別々に使用する代わりに一つの電源源に結合する方法がある。より一般的にいえ
ば、三つのRF信号(第3あるいは上部電極へのRFバイアスを含む)のすべて
を一つの電源から供給する、あるいはアンテナと下部バイアスに一つの電源を用
い、第3電極に第2のプラズマ源を用いる、あるいは三つの別々の電源を用いる
といった可能性がある。別々の電源が用いられる場合、考慮しなければならない
ことは別々のRF信号の周波数は等しくなければならないか、等しくなければな
らないとすればこれらの信号をなんらかの所望の位相関係にロックしなければな
らないかどうかということである。予備的な研究からこれらの質問に対する答は
主に選択された動作周波数によることがわかっている。二つあるいは三つのRF
電源に対して一つの周波数を選択できる場合、またその周波数がこのシステムが
用いられる別の加工について変更される可能性がない場合、単一のRFソースが
論理的選択ということになる。上のサブパラグラフ1−3に論じた考察に基づい
て、これらのプラズマ源に対して異なる周波数が必要である場合、あるいは異な
る加工に用いるために周波数を変更しなければならない場合、別々のRF電源が
必要になる。別々の電源源があり、同じ周波数が選択される場合、位相同期が問 題になる。たとえば、プラズマ源はアンテナへのRF電圧入力と下部あるいはウ
エハ電極へのRF電圧入力の間の位相角が加工の繰り返し精度を最適化するため
に選択された一定の値に維持されるように同期することができる。約10MHz
以上といった高い周波数では、動作は位相あるいは周波数の同期とは無関係と見
られる。 5.アンテナの同調と負荷 1)同調 通常、アンテナ30は(1)発電器31の周波数をアンテナと共振するように
変化させることによって、あるいは(2)共振に同調するためにアンテナに接続
された別の共振要素によって共振に同調される。たとえば、この同調要素は可変
のインダクタンス−アース、あるいは可変のキャパシタンス−アースとすること
ができる。 【0038】 誘導同調および容量性同調は共振周波数を低下させることに注意しなければな
らない。したがってこのシステムを望ましい最も高い共振周波数となるように構
成してキャパシタンスあるいはインダクタンス同調変数を用いるさいに共振周波
数の低下に対処するようにすることが望ましい。 自動同調は好適であり、インピーダンス位相/振幅検出器を用いて同調/負荷
変数を駆動することによって実行することができる。図6と9節を参照。また、
反射電力ブリッジあるいはVSWRブリッジを用いて同調変数と負荷変数の両方
を駆動することができるが、反復が必要である。 2)ローディング 導電性、容量性、あるいは誘導性負荷手段Lを用いてプラズマ源アンテナ30
をRF発電器31と接続用同軸ケーブル31Cのインピーダンスに一致させるこ
とができる。たとえば、タップあるいはワイパを50オームあるいは300オー
ム近辺あるいはアンテナ上の他の発電器出力インピーダンス位置にオーミックに
接触させることができる。また、可変インダクタンスあるいは可変キャパシタン
スをアンテナ上の発電器出力インピーダンス点50に接続することもできる。 3)同調回路と負荷回路 図4および図9において、好適にはプラズマ源アンテナ30と一体でプラズマ
源を共振に紅潮させる同調手段Tが設けられる。また、一体負荷手段Lがプラズ
マ源アンテナ30の入力インピーダンスを関連の発電器31(あるいは伝送線3
1C)の出力インピーダンスに一致させるために用いられる。図4において、あ
る側面においては、同調手段Tはアンテナ30の一端とRFアースの間に電気的
に接続された可変キャパシタンスである。 【0039】 図5に示すように、また別の側面においては、負荷手段Lはアンテナの一端と
RFアースの間に電気的に接続された可変キャパシタンスとすることができる。
また、この負荷手段はアンテナにRF入力電力を加える可変位置タップ60とす
ることができる。図6を参照されたい。 図7に示す好適な組合せにおいて、同調手段Tはアンテナ30の一端とRFア
ースの間に電気的に接続された可変キャパシタンスであり、負荷手段Lはアンテ
ナの他端とRFアースの間に電気的に接続された別の可変キャパシタンスである
。この構成では、RF入力電力はタップを介して、すなわちアンテナに沿ってあ
るいはそのいずれかの端部に設けられたタップを介してアンテナに印加すること
ができる。図8を参照されたい。また、RF電力入力接続部66を図9に示すよ
うに負荷可変キャパシタンスLとアンテナ30の端部の接続部に配置することが
できる。 6.ソース/バイアス加工制御 また、この発明は十分に高いバイアス電圧を用いて高い二酸化けい素エッチン
グ速度を提供し、バイアス電圧を低い値に周期的にパルス化することによって、
二酸化けい素等の材料のエッチング速度が上がり、けい素等の材料と比較して二
酸化けい素のエッチング選択度が増すという発見を含んでいる。 1)パルス/変調バイアス−エッチング速度と選択度の向上 図10において、通常二酸化けい素SiO2等の材料のエッチング速度は、バイア
ス電圧が上がるにつれて増大する。したがって、バイアス電圧を上げれば酸化物
のエッチング速度が上がる。しかし、残念ながらけい素/ポリシリコン等の集積
回路構造中の関連する材料のエッチング速度もまたバイアス電圧につれて上がる 。したがって、非常に高い二酸化けい素エッチング速度を提供する十分な大きさ
のバイアス電圧を用いると、けい素エッチング速度は(酸化物エッチング速度よ
りいくぶん低いが)高すぎる値になり、選択度が低下する。二酸化けい素をエッ
チングするさいには、高い直流バイアス電圧Vhの特徴である高い酸化物エッチ
ング速度と低い直量バイアス電圧V1の特徴である比較的低いけい素エッチング
速度の組合せ、したがって高い酸化物選択度を得ることが非常に望ましいことは
きわめて明白である。 【0040】 ここで図11の直流バイアス電圧波形70を見ると、VhとV1の特性を組み合
わせるという前のパラグラフで示した一見矛盾する目的は実際には高ベースライ
ン直流バイアス電圧Vhを用い、この電圧を低い値V1に周期的にパルス化あるい
は変調することによってポリマー形成エッチング処理(けい素等の材料の上にエ
ッチング抑制ポリマーを形成する処理)において達成される。V1はけい素エッ
チングとけい素蒸着の間の交差点/電圧68(図10)以下であり、酸化物交差
点/電圧69以上である。その結果、保護ポリマーがけい素上に蒸着され高速エ
ッチング電圧Vhに復帰する間エッチングを抑制するが、Vhでの酸化物のエッチ
ングをに重大な抑制を加えるような蒸着は酸化物上に発生しないか、発生しても
不十分である。好適には、V1はポリマー上の蒸着を特徴とするが、少なくとも
酸化物のわずかなエッチングである。この発明の一実施例においては、パラメー
タVh(高直流バイアス電圧)、V1(低直流バイアス電圧)、Pw(低電圧V1
パルス幅)、およびPrp(低電圧パルスと高電圧パルスのパルス繰返し率あるい
は結合された幅)の値はそれぞれ−400V、−225V、約0.1秒、および
約1秒である。 2)2周波数バイアス 代替の方法を図12の直流バイアス電圧波形71によって示す。比較的低い周
波数電圧変動が基本バイアス電圧周波数に重畳される。たとえば、低い周波数T
2<25KHz (好適には5−10KHz )をベース高周波T1<2MHz に重畳
あるいは混合される。酸化けい素は絶縁体である。けい素/ポリシリコンは通常
非常に薄い固有酸化物層しか持っていない。したがって、低周波数T2の直流 バイアス電圧変動は酸化物表面には見られない。なぜなら、これは帯電している
ためである。しかし、基本的に絶縁されていないポリシリコンは低周波数T2
イクルの低電圧のエクスカーション72(V1)中に保護層を形成することによ
って前述したものと同様の態様で低周波数T2に反応する。この低周波数で形成
した層は高周波数T1サイクルの変動する高電圧エクスカーション73中、エッ
チングを不能とする。前述したように、二酸化けい素の絶縁性によってT2の低
電圧エクスカーション中、エッチング抑制蒸着を不能とし、酸化物エッチングが
1サイクルの高電圧部分の期間に抑制されることなく進行する。 【0041】 つまり、低周波数サイクルT2の低電圧エクスカーション72中にけい素上に
保護層が形成され、蒸着を抑制することなく酸化物を急速にエッチングする高周
波数サイクルT1の高電圧エクスカーション73中のけい素エッチングを抑制す
る。その結果、上述したパルス/変調による方法の場合と同様に、高い酸化けい
素エッチング速度、比較的低いけい素エッチング速度および酸化物に対する高い
エッチング選択度が得られる。パルス/変調法は現在2周波数バイアス法により
好適であることに注意しなければならない。これは前者が精密な制御を行うこと
ができるためである。 7.ファラデーシールド 入力端に負荷コンデンサL、他端に同調コンデンサT、また入力端に比較的低
い電圧、他端にそれよりはるかに高い電圧を有する典型的なアンテナ30のコイ
ル構成について考察する。グラウンドに近いコイルの最下部の巻線は低電圧RF
入力に接続されている。通常、プラズマはガスの分解を静電的に開始することに
よってプラズマを開始する同調端に近い比較的高電圧の巻線の静電界にさらされ
る。分解の開始に続いて、プラズマへの結合は主として電磁的すなわち誘導的な
ものになる。このような動作は周知である。定常状態の条件下では、通常、静電
結合と電磁誘導結合の両方が存在する。電磁結合の方が優勢であるが、加工の種
類によっては静電界に敏感なものもある。たとえば、ポリシリコンのエッチング
には酸化物のエッチングを防止するために低エネルギー粒子と低エネルギー衝撃
が必要である。 【0042】 図1および図15について説明すると、定常状態の静電界を減少させるには、
この発明のチャンバーにはオプションとしてファラデーシールド45を内蔵する
こともできる。図15Aに示す実施例における構造は、ドーム壁17Wとアンテ
ナ30を取り囲む接地された間隔を置いた軸方向に伸長するポストあるいはバー
その他の円筒状の配列からなる、“単一”ファラデーシールド45Sと呼ばれる
ものである。この単一シールドは大きな間隔をおいた構成からシールドの各部分
の間の間隔が非常に小さい構成までさまざまな態様とすることができる。 【0043】 図15Bはその一方のバーが他方の間隔に重なるように間隔をおいた一対の同
心のシールドからなるいわゆる“全”ファラデーシールド45Fを示す。これは
、シールドを通る電界線の視線路を排除し、それによって静電界を分路する。 ファラデーシールド45Sおよび45Fにはさまざまな構成が可能であるが、
現在好適な構成は、図1に垂直断面図で示す外向きのフランジの付いた導電性の
端部の開放した円筒状の構成である。単一壁あるいは二重壁の開口を設けたフィ
ールド面46、47、48がアンテナの頂部、内面(ソース)および底面の周り
に伸長し、グラウンド側49(ここは開放していなくてもよい)がアンテナの外
側に位置する。この構成によれば、アンテナ30からの電磁波の軸方向の磁気成
分がプラズマ16を生成するアンテナの平面に平行な閉ループ電界を誘起するこ
とを可能にする。しかし、シールド45はグラウンドへの直接電界成分を容量的
に分路し、高周波電磁エネルギーの直接電界成分がプラズマに結合するのを防止
する。シールド45を用いると、アンテナの変動する電圧が容量性変位電流結合
のマックスウェル方程式にしたがってプラズマに結合する。これによってプラズ
マ密度とウエハ5のエネルギーに不均一性と勾配が誘起され、加工の不均一性や
高エネルギー荷電粒子が発生する可能性がある。積分形式で表したファラデーの
法則によれば面を通る変化する磁界によってその面に閉じた電界が発生しなけれ
ばならない。この現象を微分形式で表すマックスウェル方程式は誘起された電界
のうずは磁界の変化の負の時間率に比例することを示している。正弦励起の場合
、誘起されたEは変化するBフィールドの放射周波数およびそのピーク振幅に比 例する。 【0044】 つまり、不連続あるいはスリットの入ったあるいは分割されたファラデーシー
ルドはコイルからの変化する電磁界に対するシールドの短絡効果を最小限にし、
うず電流損失を低減し、高周波の軸方向の磁界のプラズマへの結合を可能にし、
プラズマを生成する閉ループ電界を誘起するが、この電界(これはアンテナ上で
変化する)のプラズマへの直接結合を不能にし、それによってプラズマの不均一
性や高エネルギー荷電粒子に対する処理の不均一性といった損失を排除する。 8.磁界の制限と増強 1)制限 円筒/ドームプラズマ源の壁17Wにおける損失(プラズマ密度の低下)を低
減するために、周辺の環状(浅い)磁界を発生する磁気装置が設けられている。
図13の水平断面図に示す好適な構成では、この磁界は軸方向の永久磁石あるい
は電磁石76−76を近接して配置した“バケツ”あるいは円筒状の多極配列に
よって提供され、磁石はそれぞれがその短尺方向に着磁されて閉じた交番磁極の
周辺−N−S−N−S−磁界Bを形成する。この多極配列はドーム壁に他カスプ
磁気ミラー77を生成する。また、この配列は水平なリング磁石とすることもで
きる。かかる磁石は壁17Wの電子損失を低減し、ウエハを磁界にさらすことな
くプラズマの密度と均一性を向上させる。 【0045】 また同様に、永久磁石あるいは電磁石を下部チャンバー16Aの周囲に、通常
はN−S−N−S…N−Sの交番する構成の多極配列に配置して、チャンバー壁
に多カスプ磁気ミラーを発生させることもできる。これらの磁石は垂直方向の棒
磁石とすることができ、また好適にはたとえば水平なリング磁石とすることがで
きる。かかる磁石は壁の電子損失の低減に用いることができ、それによってウエ
ハを磁界にさらすことなくプラズマの密度と均一性が向上する。さらに、磁石の
放射状の配列を円筒状プラズマ源のドームの頂部あるいは頂部プレート17T上
に取り付けて頂部での損失を低減することもできる。 【0046】 図3について説明すると、基板加工領域16B中のプラズマは、ほぼ平面状を
なす磁石の格子をプラズマ源領域の底部と加工領域の頂部に配置することによっ
て、発生あるいはプラズマ源領域16A中のプラズマから減結合することができ
る。この磁気格子は、上述したバケツ構成と同様に近接したほぼ平行な磁気バー
78−78からなり、その短尺方向にNS着磁されて磁力線が一つのバーから出
て次のバーで終了する平面構成の−NS−NS−NS−磁界を提供する。その結
果得られるプラズマ源の開口部15にかかるほぼ平面状の磁気フィルター79が
磁界をこの平面とプレートの領域に制限し、プラズマ源にもウエハ領域にも侵入
しない。 【0047】 F=qV×Bの関係から、プラズマ源の高エネルギー/高速電子はこの磁界7
9によってイオンよりも高い程度で曲げられ、あるいははね返され、基板加工領
域に貫入することができない。これによって加工領域16B中の高エネルギー電
子の密度が低下し、同領域のプラズマ密度が低下する。加工領域とプラズマ源領
域は減結合される。 【0048】 このフィルターによる磁気制限法は小型システムのプラズマ領域を減結合する
のに特に有効である。すなわち、たとえば基板上のイオン密度を高くすることな
く高い基密度を提供し、同時にコンパクトさを維持する。ある好適な構成では、
フィルター磁気制限は空気冷却用の中空のバーと細長い磁石を有する機械仕上げ
のアルミニウム板を用いて実施される。 【0049】 バケツ磁気制限構成とフィルター磁気制限構成を一緒に用いることもできる。 2)増強 上述したように、図3に示す一つあるいはそれ以上の(好適には少なくとも二
つ)永久磁石あるいは電磁石81−81を用いてアンテナコイルの水平面と高周
波RF放射アンテナによって誘起される電界の両方に対して直角をなしまたそれ
らを通るほぼ軸方向の静電界を形成することができる。好適にはつぎに説明する
ように、三種類の磁界、すなわち均一磁界、発散磁界あるいは磁気ミラーのうち の一つが用いられる。 【0050】 図14(A)について説明すると、磁石81−81によってウエハ5に直角に
加えられる同質の軸方向の均一な磁界82は電子の運動を壁に制限する。イオン
が高周波磁界変動に追従できないため、イオンは電子の不足にしたがってウエハ
上のプラズマ中に集まる。最大限の効率を得るには、この磁界あるいは他の静磁
界を高周波電磁界で共振に同調することができる。Ω=2πF=Be/m、ここ
でBは磁束密度であり、eとmはそれぞれ電子の電荷と質量である。 【0051】 軸方向の発散磁界83の概略を図14(B)に示す。磁気モーメントの保存に
より、磁界の軸勾配が円並進エネルギーを軸並進エネルギーに変換し、電子とイ
オンを強い磁気領域からより弱い磁気領域に駆動しようとする。発散磁界は電子
とイオンをプラズマ発生領域から押し出しプラズマをウエハに集中させるのに用
いることができる。 【0052】 図14(C)と図14(D)について説明すると、ふくらし磁界あるいは助成
磁界84(図15(C))とカスプ状あるいは対向磁界85(図15(D))を
示している。これらのいわゆる“磁気ミラー”磁界のそれぞれの効果は軸方向発
散磁界の効果と同様である。荷電粒子が比較的強い磁界領域から比較的弱い中央
の領域に駆動される。 【0053】 磁石を選択的に配置し、また単一あるいは複数の磁石によって提供される磁界
の強さを選択および変化させることによって、関連する均一な発散磁界あるいは
磁気ミラー磁界を制御された態様とし、ウエハのプラズマ密度を増大させる。磁
気ミラー磁界の場合、最大のプラズマ密度増強を得るための好適なウエハ位置は
張り出しあるいはカスプ上あるいはそれに密接する位置であり、これによって最
大限のプラズマ密度増強が得られる。 【0054】 アンテナのボリュームに軸方向の磁界を用いてプラズマの生成を向上させたい がウエハ上では磁界を排除したいという場合がある。(軟鉄用のニッケルあるい
は鋼鉄等の)の高透磁性材料でできた環状のディスクを磁石とアンテナの平面の
下ウエハ5の上に介装することができる。 3.抽出 適当な磁界を用いてイオンと電子をウエハ方向に抽出することができる。 9.制御システム 以下の定義は図16に示す制御システムについて用いる。 【0055】 Psp 電力設定点 Pf 正方向電力(電源に配置した方向性結合器によって測定) Pr 反射電力 (電源に配置した方向性結合器によって測定) |Ζ| インピーダンスの大きさ <phi インピーダンスの位相 Tsp 同調設定点 Lsp 負荷設定点 Tfb 同調フィードバック(測定値) Lfb 負荷フィードバック(測定値) 図16は電源を含む各種の構成要素を制御するための代表的なシステムのブロ
ック図である。ここで、システムコントローラ86はアンテナ電源31、インピ
ーダンスブリッジ87、アンテナ30、バイアス電源31、インピーダンスブリ
ッジ88、整合ネットワーク43、およびカソード32とインターフェースされ
ている。イオン束密度とイオンエネルギーに対して選択された処理パラメータ、
アンテナ電力および直流バイアスがコントローラ86への入力として供給される
。また、コントローラ86はガス流、チャンバー圧力、電極あるいはウエハの温
度、チャンバーの温度、その他のパラメータを制御する。コントローラはアンテ
ナ30に接続された Tsp1ラインおよび Lsp1ライン上に信号を発することによ
って初期の同調1および負荷1の条件を設定することができる。また、コントロ
ーラは整合ネットワーク43に接続された Tsp2ラインおよび Lsp2ライン上に
信号を発することによって初期の同調2および負荷2の条件を設定することがで きる。通常、これらの条件はプラズマの開始(ガスの降伏)を最適化するように
選択される。電力はまずアンテナ30あるいはカソード32のいずれかまたはそ
の両者に同時に印加することができる。コントローラ86はアンテナ電源31へ
の Psp1ラインとバイアス電源42への Psp2ライン上に同時にあるいは順次(
順次はどちらが先でもよい)電力設定点を発する。 【0056】 電子なだれ降伏がガス中で急激に発生し、プラズマが生成される。コントロー
ラ86はアンテナ30との間の正方向電力(Pf1)および反射電力(Pr1)を監
視し、またカソード32との間の正方向電力(Pf2)および反射電力(Pr2)を
監視する。直流バイアス(カソード−アノード直流電圧)もまたコントローラ8
6に示すように監視される。コントローラは(a)正方向電力Pf1および反射電
力Pr1あるいは(b)インピーダンスの大きさ|Z1|とインピーダンスの位相
<phi 1のいずれかに基づいてライン Tsp1および Lsp1上に設定点を発するこ
とによってコイル同調1および負荷1のパラメータを調整する。ブリッジ87は
コントローラにインピーダンスの大きさと位相角の情報を与える。アンテナ30
は反射電力Pr1がほぼゼロであるとき、またインピーダンス(大きさと位相|Z
1|<phi )がコイル電源出力インピーダンスの複素共役であるときマッチング
している。(ゼロ反射電力条件と共役インピーダンス条件は同時に発生する。し
たがって、反射電力が最小化されるか、インピーダンスが整合するかのいずれか
であり、その結果は同じになる。あるいは、VSWR(電圧定在波比)あるいは
反射係数が最小になる。)コントローラ86は(a)正方向電力Pf2および反射
電力Pr2あるいは(b)インピーダンスの大きさ|Z2|とインピーダンスの位
相<phi 2のいずれかに基づいてライン Tsp2および Lsp2上に設定点を発する
ことによってカソード32と整合ネットワーク同調2および負荷2のパラメータ
を調整する。ブリッジ88はコントローラにインピーダンスの大きさ|Z2|と
位相<phi 2の情報を与える。アンテナのマッチングと同様に、反射電力Pr2が
ほぼゼロであるとき、またインピーダンス(大きさ|Z2|と位相<phi 2)が
バイアス電源504出力インピーダンスの複素共役であるときマッチングが起こ
る。直流バイアスはコントローラ86によって監視される。コントローラ86は バイアス電源の出力電力を変化させて所望の測定直流バイアスを得る。コントロ
ーラ86は直流バイアスの所望の値から直流バイアスの測定値を減算する。その
差が負である場合、バイアス電源42の出力が上げられる。その差が正である場
合、バイアス電源の出力が下げられる(バイアス電源の出力が高いほど直流バイ
アスは負の方向に大きくなる。)この方法によれば、比例制御、比例積分制御、
あるいは比例積分微分制御あるいはその他の制御を用いることができる。 【0057】 また、バイアス電源42の出力を調整して一定の直流バイアスを維持するこの
実施例に替わって、定バイアス電源出力を用いることもできる。 上述した直流バイアスサーボマッチング技術に加えて、ピーク−ピークRF電
圧へのサーボによっても自動同調を行うことができる。この後者の方法はたとえ
ば計器の駆動用の電流を提供するのにカソードとアノードに十分な導電面積を必
要とするある種のエッチング処理においては有効であることがある。ポリマーコ
ーティング技術を用いるとこれらの導電領域が不動態化され、電流によって計器
が飽和することを防止して有効な読みが得られる。それと対照的に、ピーク−ピ
ークRF電圧の方法は特に好適な周波数範囲に関連する低周波数においては影響
を受けない。測定値はカソードではなくチャンバーに近い整合ネットワーク43
で得ることができる。 【0058】 コントローラ86は中央制御装置あるいは制御装置の分散形システムとするこ
とができる。 感度のよいウエハ装置構造を得るにはターンオン/ターンオフシーケンスが重
要である。一般に、プラズマ源を始めにオンして最後にオフするのが好適である
。これはこの方法によればシース電圧の変化を最小限にできるためである。アプ
リケーションによっては、バイアスを先にオンする方がよい場合もある。 10.伝送線構造 参照した特許出願米国特許559,947 号に詳細に説明しているように、適正な同
軸伝送線の設計には、低い特性インピーダンスを介した給電、整合ネットワーク
からウエハまでの短い伝送線、そして伝送線に沿ったリターンパスが必要である 。この設計条件はカソード32C、同心環状導体320、およびカソード32C
を取り囲むカソードを同心環状導体320から絶縁し、降伏の可能性のあるプロ
セスガスを置換する非孔質の低損失絶縁体321からなる図1に示す一体伝送線
構造32によって満足される。たとえば、TeflonTMや石英の材料は絶縁耐力が高
く、比誘電率が低く、損失が少ないため好適である。この構造の入力側は次に説
明する方法で整合ネットワークに接続されている。絶縁されたカソード32Cと
外側導体320は整合ネットワーク43とプラズマ16の間に別々の電流路を提
供する。一つの可逆電流路は整合ネットワークからカソード32Cの外周に沿っ
てチャンバー(電極)の表面のプラズマシースに向かう。第2の可逆路はプラズ
マ16からチャンバー癖12の上部の内側の部分に沿って次に導電性排気マニホ
ルドスクリーン29に沿って外側導体320の内部を経て整合ネットワークに向
かう。排気マニホルドスクリーン29は均一径方向ガスポンピングシステムとR
F電流のリターンパスの一部をなすことに注意しなければならない。 【0059】 交流エネルギーの印加中、RF電流路の方向は交互に図示する方向とその逆の
方向になる。伝送線構造32は同軸ケーブル型の構造であるため、またより詳細
にはカソード32Cの内部インピーダンスが(その外側に比べて)高いため、R
F電流は同軸伝送線の態様でカソード32Cの外面と外側導体320の内面に流
れる。表皮効果によってRF電流が伝送線の表面の近くに集中し、電流路の有効
断面積が減少する。たとえば直径4−8インチといった大きなウエハとそれに対
応する大径のカソード32Cおよび大径の外側導体320を用いると、有効断面
が大きくなり、低インピーダンス電流がこの伝送線構造を流れる。 【0060】 また、同軸型伝送線構造32がその特性インピーダンスZ0に等しい純抵抗で
成端される場合、整合ネットワークは伝送線の長さと無関係に一定のインピーダ
ンスZ0を有する。しかし、実際にはこのようにはならない。それはプラズマは
ある範囲の圧力と電力にわたって動作し、さまざまなガスからなり、これらのガ
スが集合的にプラズマが伝送線32の終端で提供する負荷インピーダンスZ1
変化させるためである。負荷Z1は理想的でない(すなわち無損失でない)伝送 線32に整合していないため、伝送線上にある定在波が伝送線と整合ネットワー
クの間の抵抗損失、誘電損失その他の損失を増大させる。整合ネットワーク43
は定在波や整合ネットワークの入力から増幅器あるいは電源42までの損失を除
去するのに用いることができるが、整合ネットワーク、伝送線32、およびチャ
ンバー内のプラズマは伝送線32と整合ネットワーク43の間の抵抗損失、誘電
損失その他の損失を増大させる共振系を構成している。つまり、負荷インピーダ
ンスZ1は損失と整合しないが、Z1=Z0のおき損失は最小限になる。 【0061】 負荷の不整合に起因する損失をなくすために、同軸型伝送線構造32はプラズ
マ動作に伴う負荷インピーダンスの範囲に最も適した特性インピーダンスZ0
持つように設計される。通常、上述の動作パラメータ(例:バイアス周波数範囲
は約0.3−3MHz )と考察している材料に対しては、プラズマから伝送線に
与えられる直列等価RC負荷インピーダンスZ1は約10オームから100オー
ムの範囲の抵抗と約50ピコファラドから約400ピコファラドの範囲のキャパ
シタンスからなる。したがって、伝送線特性インピーダンスZ0の最適値として
は、負荷インピーダンス範囲の中間、すなわち約30オームから50オームが選
択される。 【0062】 整合ネットワークの見るプラズマインピーダンスの変形を避けるために伝送線
32は非常に短いものでなければならない。好適には、伝送線は1/4波長(λ
/4)よりはるかに短い。より好適には約(0.05−0.1)λである。 また、電力結合をより効率的に行うためには、帰り導体320の内径(断面寸
法)は中央導体32Cの外径(断面寸法)より著しく大きいものであってはなら
ない。 【0063】 つまり、このチャンバーは整合ネットワーク31からの電力をプラズマ33に
結合する伝送線構造を内蔵している。この伝送線構造は(1)プラズマインピー
ダンスの変形を防止するために対象とする周波数における1/4波長に比べて非
常に短いかあるいは半波長にほぼ等しいのが好適であり、(2)プラズマと整合 ネットワークの間の線上の定在波の存在に起因する損失を抑制するように選択さ
れた特性インピーダンスZ0を有し、(3)断面寸法が中央導体の断面寸法より
さほど大きくない外側導体路を用いる。 11.チャンバー温度制御 反応装置チャンバーシステム10に組み入れることのできる温度制御機能には
、吸気マニホルドの内部もしくは外部温度をある一定の値の上下に、あるいはあ
る一定の範囲内に維持するための流体伝熱媒体の使用、カソード32Cの抵抗加
熱、カソード32Cの流体伝熱加熱あるいは冷却、ウエハ15とカソード32C
の間のガス伝熱媒体の使用、チャンバー壁12−14もしくはドーム17を加熱
あるいは冷却するための流体伝熱媒体の使用、およびウエハ15をカソード32
Cに拘束するための機械手段あるいは静電手段が含まれるが、これらには限定さ
れない。かかる機能はここで参照した同時譲渡された1989年10月10日付
け米国特許第4,872,947 号および同時譲渡された1989年6月27日付け米国
特許第4,842,683 号に開示している。 【0064】 たとえば、再循環閉ループ熱交換器90を用いて流体、好適には誘電流体を、
流路91に概略を示すようにウエハ支持体/カソード32Cのブロックおよび受
台に流して、ウエハ支持体を冷却(もしくは加熱)することができる。酸化けい
素エッチングの場合、たとえば−40℃の誘電流体温度が用いられる。上述した
ように、ウエハ5とウエハ支持体32の間の熱の伝達はウエハと支持体の界面の
ヘリウム等の不活性ガス伝熱媒体によって増強される。 【0065】 チャンバー壁とドームは空気の対流(吹き出された空気)もしくは誘電流体熱
交換器によって加熱もしくは冷却することができる。たとえば、閉回路熱交換器
92は通路93に沿ってチャンバーの側壁に加熱から冷却までたとえば+120
℃から−150℃までの範囲の制御された温度で誘電流体を再循環させる。同様
に、ドーム側壁17Wと頂壁17Tは通路95、97に沿って流体を再循環させ
る熱交換器94、96によって加熱もしくは冷却することができる。 【0066】 代替の誘電体熱制御システムにおいては、アンテナコイル30はドームの二重
壁17Wの間に再循環する誘電流体に浸された状態で配置される。 別の代替のドーム誘電流体熱制御法では、アンテナ30のコイルが高温プラス
ティックあるいはTeflonTM中に封じられ、伝熱性サーマルグリースがこの封入さ
れたアンテナとドームの間に塗布され、中空のコイルが誘電流体をこのコイルに
流すことによって加熱もしくは冷却される。RFエネルギーもまたコイルに加え
られ、またプラズマに近接していることから、誘電油は、許容できる流量での効
率的熱伝達のための高い固有比熱および密度に加えて、良好な誘電および絶縁特
性さらに高い沸点を持っていなければならない。適当な誘電流体としてはDuPont
の販売するSilthermがある。 12.3電極構成 図1について説明すると、現在の好適な実施例ではこのチャンバーは新しいプ
ロセス制御と改善を可能とする独特の3電極構成を内蔵している。この構成はカ
ソード(好適にはウエハ支持電極32)、アノード(好適にはチャンバー側壁お
よび底壁)および頂部電極からなり、頂部電極はドームの頂部プレート17Tで
ある(あるいはこれを含む)。図1に示すように、頂部電極は浮動、接地された
もの、あるいはRF電源40に接続されたものである。頂部電極はさまざまな構
成を含み、さまざまな材料で構成することができる。すなわち、導電性材料(好
適にはアルミニウム)、陽極処理したアルミニウム等の誘電体コーティングした
材料、アルミニウム−けい素合金等のけい素あるいはけい素含有材料からなり、
あるいはけい素ウエハのような犠牲けい素部材17Sを含むがシリコンウエハに
は限定されない。 1)接地された第3の電極 接地された頂部プレート17Tは(壁12によって与えられる従来の基準に対
して)バイアス電圧の接地基準面を向上させ、その結果プラズマ源16Aから加
工領域16Bへのイオン抽出を増強し、したがって加工速度(エッチング速度等
)を増大させる。さらに、接地された頂部プレートは(プラズマ源で生成された
)プラズマとウエハの結合を向上させる。 2)バイアスされた第3電極 RFバイアスされた第3の電極を(けい素含有部材を含むあるいはけい素含有
部材に覆われた電極を用いた)自由けい素のソースプラズマへの供給と組み合わ
せて用いると、エッチング速度や選択度を含むさまざまな加工特性が向上する。
ソースプラズマの強い解離特性に助けられて、けい素は気相に入り、自由ふっ素
と結合/除去する。(ソースプラズマの解離特性からふっ素含有ガス化学作用を
たとえば酸化物エッチングに用いると、高い濃度が得られる。これによって酸化
物のエッチング速度が上がるがポリシリコン等の関連のウエハ材料のエッチング
速度も上がり、したがってポリに対する酸化物の選択度が下がる。)自由けい素
によるふっ素除去によって、チャンバー上や酸化物の側壁上の蒸着を含めたポリ
マー蒸着の傾向のより少ない、いわゆる“より軽い”ポリマー化学作用の使用が
可能になる。その結果、酸化物エッチング速度が上がり、ポリに対する酸化物の
選択度が上がり、酸化物エッチングの異方性と垂直プロファイルが増強され、マ
イクロローディングが提言される。さらに、自由けい素は重合反応に影響し、け
い素上で酸化物に対してより安定した不動態化ポリマーの蒸着を発生させ、ポリ
シリコンのエッチング速度の抑制が向上し、けい素に対する酸化物の選択度が上
がる。 【0067】 さらに、犠牲けい素含有第3電極はCOもしくはCO2添加剤等の炭素および
酸素含有ガスの使用と相乗的に動作してポリシリコン表面にポリマーを形成する
。これによってけい素エッチングの抑制が大きくなり、けい素に対する酸化物の
選択度が高くなり、酸化物上のポリマー側壁蒸着が増大し、したがってエッチン
グの異方性と酸化物の垂直側壁エッチプロファイルが向上する。ここでは、“相
乗的”ということばをあえて用いているが、これは炭素および酸素含有ガス化学
作用と犠牲けい素含有電極の使用の組合せから得られる以上の加工の改善が、単
にこれら二つの特徴の個々の利点が加わるというよりはるかに大きいためである
。さらに、これらの特徴をCHF3主エッチャントを含むガス化学作用に用いて
も酸化物エッチング速度が上がり、他のふっ素化学作用に比べてポリシリコンエ
ッチング速度が低下するという点で相乗効果がある。 例:酸化けい素上でのポリシリコンエッチング けい素ウエハ上の酸化けい素の上にポリシリコンのエッチングを、この発明の
3電極チャンバー内で約2mtから約20mtの範囲の圧力、50ccの塩素(Cl2
エッチャントガス流量(マニホルドG1のみ)、1500ワットの電源電力、2
0ボルトのバイアス電圧、および接地された頂部電極(けい素なし)を用いて行
った。その結果、3500−4000オングストローム/分のポリシリコンエッ
チング速度、垂直なエッチングプロファイル、および酸化物に対して>100:
1のポリシリコンの選択度が得られた。 例:酸化けい素蒸着 けい素ウエハ上での二酸化けい素の2ステップバイアススパッタ蒸着を、この
発明の3電極チャンバー内で約2mtから約10mtの範囲の圧力(両ステップとも
)、アルゴン約200cc/酸素約90cc/シラン約45ccのガス流量(両ステッ
プとも、マニホルドG1のみ)、2000ワットの電源電力(両ステップとも)
、接地された頂部電極(両ステップとも)、約−20ボルトのバイアス電圧(第
1ステップ)、および約100−200ボルト(第2ステップ)を用いて行った
。その結果、第1ステップ(スパッタリングなし)において>7500オングス
トローム/分の蒸着と、第2のステップにおいて約4000−5000オングス
トローム/分の純酸化物蒸着(プロファイル制御スパッタリング蒸着)が得られ
た。 【0068】 例:ポリマー形成化学作用を用いたポリシリコン上の酸化けい素エッチング ポリシリコン上に酸化けい素を、この発明の3電極チャンバー内で約2mtから
約30mtの圧力、CHF3、30−60sccm/COあるいはCO2、6−18sccm
/Ar、100−200sccm(マニホルドG1のみ)のガス化学作用流量、20
00ワットの電源電力、200ボルトのバイアス電圧、頂部電極17Tと、そこ
に取り付けられ2MHz 、1000ワットのRFエネルギーでバイアスされたけ
い素ディスク17Sを用いて行った。酸化けい素は8000オングストローム/
分の速度でエッチングされ、ポリに対する酸化物の選択度は50:1であった。
また、けい素含有体は石英ドーム壁17W上のシリカコーティングによって補強
することができる。 13.エッチングアプリケーションの説明 1)半導体製造における重大な課題は下の層がポリシリコンであるとき、選択さ
れた厚みの二酸化けい素をエッチングすることである。酸化けい素は比較的高速
でエッチングされ露出したポリシリコンはほとんどエッチングされないようにす
るには高い選択度が必要とされる。残念ながら、ポリシリコンは通常酸化けい素
より速くエッチングする。この問題に対する従来の対策はプラズマガス中にCF
4と結合したCHF3や水素、あるいはメタンといった炭素、水素、およびふっ素
の化合物を導入することであった。この結果、ポリシリコンの上に薄い不動態化
層が生成され、酸化けい素に対して比較的高い速度でエッチングを続けることが
できる。残念ながら、高密度プラズマはプラズマ源領域においてフィードガスの
原子に分解する可能性があり、ウエハ上に形成される厚いポリマー層が小さい装
置寸法形状のエッチングをより困難にする。この点における重要な概念は“マイ
クロローディング”であり、次のように定義される。 【0069】 1−(エッチング速度比) ここでエッチング速度比はウエハの細部におけるエッチング速度と大まかな部分
におけるエッチング速度の比である。したがって、あるエッチング処理が細部と
大まかな部分のいずれも同じ速度でエッチングが行われる望ましい特性を持って
いる場合、マイクロローディングは1−1/1=0である。細部のエッチング速
度がはるかに遅い処理においては、マイクロローディングの値は1.0に近くな
る。 【0070】 ここで説明したエッチングアプリケーションの困難な点は、高いエッチング選
択度を得るためにはプラズマ中に比較的多量のポリマー形成ガスを用いなければ
ならないが、ポリマー層のマイクロローディングはゼロよりはるきに大きくなる
ことである。通常、0.1のマイクロローディングの場合10:1以上の選択度
比を得ることは期待できない。しかし、マイクロローディングが事実上ゼロで3
0:1あるいは40:1といった高い選択度比を要求するアプリケーションも多
い。 2)プラズマ源領域におけるけい素の使用 高密度プラズマ源の場合、ポリシリコンを自然にエッチングする解離生成物の
一つはふっ素である。前述したように、けい素を用いてプラズマ源領域から自由
ふっ素基を取り除くことができる。けい素は第3電極17T上、あるいはチャン
バーの内壁17W上のコーティングの形態を取ることができる。犠牲けい素が壁
にある場合、けい素の厚さが、RFエネルギーがアンテナ30からプラズマに供
給される周波数とともに問題になる。これらのパラメータは十分なエネルギーが
チャンバー壁を通して電磁結合されるように選択しなければならない。17Tに
示すようにけい素が第3電極に含まれる場合、けい素の厚さはさほど重要ではな
い。いずれにしても、けい素がプラズマ源領域からの捕集自由ふっ素に利用可能
となった場合、ふっ化けい素(SiF4)が形成される。これは揮発性ガスであ
り、簡単にチャンバーの外に流出しうるものである。ふっ素がこのようにして除
去されると、より低い濃度のポリマー形成ガスが必要であり、多量のポリマーが
ウエハ上に堆積する傾向は少なくなる。選択度は二つのメカニズムによって向上
するように思われる。まず、ふっ素が除去されることによってポリシリコンのエ
ッチング速度が下がり、次に、プラズマ源領域のけい素の存在はポリマー層の形
成の態様に影響するようである。理由はまだ十分明確になっていないが、ポリマ
ー層は酸化けい素よりもポリシリコン上でより急速に形成され、これもエッチン
グ処理を向上させる。 【0071】 けい素材料自体がこの過程でポリマーによってコーティングされ、最後にはそ
の効果を失うことがある。けい素が加熱されると、これはポリマーの形成を減少
させ、けい素が電気的にバイアスをかけられると、けい素の表面の衝撃を十分増
すことができ、ポリマーは表面からスパッタされ、けい素が再び露出する。した
がって、この発明の一実施例においては、けい素をバイアスされた電極に用いる
が、代わりにけい素をバイアスされていない電極の面あるいはチャンバー壁に含
ませることもできる。 3)酸素含有添加ガスの使用 12節で述べたように、COあるいはCO2等のガスをプラズマ源領域に用い ると、エッチング性能がさらに向上する。すなわち、プラズマのポリシリコンを
エッチングする能力が抑制され、ポリシリコンに対する酸化けい素のエッチング
選択度が向上する。さらに、酸化物上のポリマーの側壁蒸着が低減され、その結
果エッチングの異方性と酸化物の垂直側壁エッチングプロファイルが向上する。
好適な方法は酸素含有添加ガスを他の関係する増強策、すなわちバイアスされた
頂部電極に含められたけい素とともに用いることであるが、酸素含有添加ガスは
、バイアスされた頂部電極を用いたり、プラズマ源領域からのふっ素の除去にけ
い素を同時に用いなくとも効果がある。 14.他の特徴 1)プラズマ制御 この発明の特徴は“下部”電力を自動的に変化させて一定のカソード(ウエハ
)シース電圧を維持することである。高度に非対照的なシステムにおいては低圧
(<500mt)ではカソードで測定される直流バイアスはカソードシース電圧の
近似値となる。下部電力は自動的に変化させて一定の直流バイアスを維持するこ
とができる。下部電力はプラズマ密度やイオン流密度にはほとんど影響しない。
上部あるいはアンテナ電力はプラズマ密度やイオン流密度には非常に強い影響を
持つが、カソードシース電圧に対する影響は非常に小さい。したがって、上部電
力をプラズマ密度やイオン流密度を決めるのに用い、下部電力をカソードシース
電圧を決めるのに用いることが望ましい。 2)差動バイアス ウエハ5をアースに対してバイアスする代わりに、図1および図2に点線の接
続50で示すようにバイアス整合ネットワーク43と頂部プレート17Tをアー
スから外し、互いをレファレンスとすることもできる。図2を説明すると、頂部
プレートは頂部プレートとウエハの間の電圧VT-SSが頂部プレートと壁12の間
の電圧VT-Wの大きさの約2倍でウエハと壁の間の電圧VSS-Wの大きさの約2倍
になるように差動的に駆動されバランスされる。このバランスされた差動駆動が
プラズマと壁の相互作用を少なくし、プラズマ源領域16Aとウエハ領域16B 3)代替構成 この発明のプラズマ反応装置システムを図1に従来の向き(垂直)で示す。基
板5が電極32(カソード)に上にあり、アンテナ30が電極の上のドーム17
を取り囲んでいる。便宜上、アンテナ30に供給される電力を“アンテナ”ある
いは“プラズマ源”あるいは“上部”電力と呼び、電極/カソード32に供給さ
れる電力を“バイアス”あるいは“下部”電力と呼んできた。これらの表現およ
び名称は便宜的なものに過ぎず、説明されたシステムは反転、すなわち電極32
を上にアンテナをこの電極の下に配置して構成することもでき、あるいは変更を
加えることなく他の方法で配置する(たとえば水平に配置する)ことができる。
つまり、この反応装置システムは向きに関係なく機能する。反転した構成ではプ
ラズマはアンテナ30で生成され、上方に搬送されて本明細書で説明した方法で
アンテナの上に位置する基板5に向かう。すなわち、活性種の搬送は拡散とバル
クフローによって発生する。あるいは場合によっては軸勾配を有する磁界に助け
られて発生する。この過程は重力には左右されず、したがって方向には比較的影
響されない。反転した向きはたとえば気相状態のプラズマ発生領域、あるいは表
面上で形成された粒子が基板に落下する可能性を最小限にできるという点で有益
である。その後重力によってかかる粒子のうち最小のものだけが重力ポテンシャ
ル勾配に逆らって基板表面に向かって上昇する。 【0072】 以上の詳細な説明から、この発明の原理はここに例として掲げたもの以外の代
替の構成にもあてはまることが理解されるであろう。この発明が関係するすべて
の構成の共通の特徴は、プラズマがチャンバーの外部からのRFエネルギーの電
磁結合によってチャンバー内に形成され維持されることである。この発明の目的
上、電磁結合という用語はRFエネルギーがチャンバー内に形成されたプラズマ
の容積あるいは体積に結合されることを意味し、エネルギーがプラズマと一つあ
るいはそれ以上の電極の間のシース層を介して転送される容量性結合と異なる。
ここに開示したこの発明の実施例では、RFエネルギーは誘導によってプラズマ
に電磁結合されるが、RFエネルギーのプラズマの容積への直接的電磁結合を行
う他のエネルギー転送メカニズムもあることが理解されるであろう。たとえば、
マイクロ波ECR(電子サイクロトロン共振)システムもまたエネルギーをプラ ズマの容積に電磁結合するものである。 4)高圧および低圧動作と可変間隔 この発明のチャンバーの設計は高圧動作と低圧動作の両方に有効である。ウエ
ハ支持カソード32Cとアンテナの最下部のコイルあるいは巻線の面の間の間隔
dは高圧動作と低圧動作の両方に適応させることができる。たとえば、500ミ
リトル−50ミリトルの高圧動作には好適には約5cmより小さい間隔dが用いら
れ、0.1ミリトル−500ミリトルより小さい範囲での低圧動作には5cmより
大きい間隔dが好適である。チャンバーには図示するように固定された間隔dを
用いることもでき、また交換可能なあるいは入れ子式の上チャンバー部のような
可変間隔設計を用いることもできる。反応装置システム10は酸化けい素や窒化
けい素といった材料の高圧および低圧蒸着、二酸化けい素、窒化けい素、けい素
、ポリシリコンおよびアルミニウム等の材料の低圧異方性反応イオンエッチング
、かかる材料の高圧プラズマエッチング、およびウエハの微細構成の平面化を含
むかかる材料の同時蒸着およびエッチバックを含むCVDファセッティング、等
の処理に有効である。反応装置システム10を用いることのできるこれらの処理
およびその他の処理については、同時譲渡された VHF/UHF PLASMA PROCESS FOR
USE IN FORMING INTEGRATED CIRCUIT STRUCTURES ON SEMICONDUCTOR WAFARSと題
する1990年7月31日付けCollins その他の米国特許出願07/560,530
号(AMATファイル No.151−2)に説明されている。 15.装置の例 この発明のシステムの実施例には図1に示すプラズマ源構成とアンテナ構成が
含まれている。高さ5インチの石英のプラズマ源チャンバー17の直径は12イ
ンチである。2MHz 、直径13インチ、高さ4インチ、13巻コイルアンテナが
両端で(接地された可変コンデンサLとTで)グラウンドプレーンから(の下)
約0.25インチ間隔をおいて成端し、プラズマ源を取り囲んでいる。反応負荷
整合が可変コンデンサL(10−3000ピコファラド可変コンデンサ、定格5
kV)によって供給されている。またアンテナの共振への容量性同調が同調コンデ
ンサT(5−100ピコファラド、15kV定格)によって提供されている。2キ
ロワット2MHz のソースRFエネルギーを用いた動作を行うと、2インチ下流(
プ ラズマ源の下)のウエハに伸長するプラズマが提供される。これが1−2X 1
012/cm3のプラズマ密度とウエハの下流で10−15mA/cm2のイオン飽和電
流密度を提供する。アンテナの約2インチ下(下流)の支持電極に配置された5
インチのウエハに印加される2MHz 、600ワットの下部あるいはバイアスは2
00ボルトのカソードシース電圧を提供する。 【0073】 【発明の効果】 上述したように、この発明を実施した上述の反応装置は反応イオンエッチング
、高圧プラズマエッチング、スパッタファセット蒸着および平面化を含む低圧化
学蒸着(CVD)および高圧コンフォーマル等方性CVD等のさまざまなプラズ
マ加工に独自の効果を提供する。アプリケーションにはスパッタエッチング、イ
オンビームエッチング、あるいは電子としてはイオンあるいは活性中性プラズマ
源があるがそれらに限定されるものではない。 【0074】 当業者にはこの発明はドームの使用に限定されるものではないことは明白であ
ろう。むしろ、この発明はプラズマ源領域と加工領域を有するほとんどあらゆる
構成に適用しうるものである。これには、たとえば図示するような“階段状の”
ドーム型チャンバー構成や、プラズマ源領域と加工領域あるいはチャンバー部分
がほぼ同じ断面を有する非階段状の構成がある。
Description: BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to an RF plasma processing reactor, and more particularly, to a radio frequency (RF) energy.
Multi-coil antenna for inductively coupling RF electromagnetic waves associated with a source of energy into a plasma
The present invention relates to a plasma reactor using a gas reactor. [0002] RF system CVD (chemical vapor deposition) reaction system and RIE (reactive ion etching)
Consider a first conventional semiconductor processing system, such as a reaction system. These systems
The system operates from a low frequency of about 10-500 KHz to a high frequency of about 13.56-40.68 MHz.
Up to high-frequency energy may be used. Ions and electrons below about 1MHz
Is accelerated by an oscillating electric field or any steady state electric field generated in the plasma.
Can be At such a relatively low frequency, the electricity generated on the wafer
The pole sheath voltage is typically above 1 kilovolt peak, which is 200-300 volts.
Much higher than the damage threshold. Above a few MHz, electrons still change
Can follow the electric field. If the amount of ions exceeds this, it cannot follow the changing electric field
Is accelerated by the steady state electric field. This frequency range (and practical gas pressures)
And power levels), the steady state sheath voltage can range from hundreds of volts to 1,000 volts.
Range. Magnetic field-enhancement The preferred method for lowering the bias voltage of an RF system is plasma
There is a method of applying a magnetic field to a computer. This B field is used to transfer electrons close to the wafer surface.
Confinement, increasing ion flux density and ion flow, thus reducing voltage and ion energy requirements. Etch silicon dioxide as a comparative example
RF energy applied at 13.56 MHz for a typical non-magnetic RIE process for
Asymmetric system with a volume of 10-15 liters, a pressure of 50 mTorr and a pressure of about (8-1
0) Using an anode area / wafer-supported cathode area ratio of one to one, about 800 volts
Generates a wafer (cathode) sheath voltage. When a magnetic field of 60 Gauss is applied,
Ass voltage drops from about 25-30%, 800 volts to about 500-600 volts
, The etching rate is increased by about 50%. However, when a stationary B field is applied in parallel to the wafer, EXB ions / electrons are generated.
Drift and its associated plasma density gradients occur across the wafer. This plastic
Zuma gradients cause non-uniform etching, deposition and other film properties on the wafer
You. This non-uniformity is reduced by rotating the magnetic field around the wafer
This rotation is usually the mechanical movement of a permanent magnet, or 90 ° shifted quadrature.
Speed controlled by magnetic coil pair or coil pair driven by magnetic field in magnetic field
Control by momentary control to step or perform other
Can be However, the rotation of the magnetic field reduces the non-uniform gradient, but usually
Some inhomogeneity remains. [0004] Furthermore, the installation of coils, in particular two or more pairs of coils,
It is difficult to construct a compact system by installing
Individual magnetically enhanced counters surrounding a Ruth coil configuration or common load lock
Especially difficult when using a multi-chamber system consisting of reactor chambers
. A small multi-chamber reaction with the ability to instantaneously and selectively change the strength and direction of a magnetic field
Unique reactor system designed for use in equipment systems is available from Cheng et al.
U.S. Pat. No. 4,842,683, issued Jun. 27, 1989, commonly assigned in the name of
Is disclosed. Microwave / ECR system Microwave system and microwave ECR (Electron Cyclotron Resonance) cis
In the system, microwave energy of 800MHz or higher and frequency of 2.45GHz is usually used.
To excite the plasma. This technology produces high density plasma,
, Particle energies can affect many processes such as reactive ion etching of silicon dioxide.
May be lower than the minimum reaction threshold energy. To compensate for this,
Energy-enhancing low-frequency power is coupled to the wafer support electrode and through the wafer
Coupled to the plasma. In this way, the possibility of wafer damage is reduced by conventional systems.
Smaller than the system. A practical power level for semiconductor wafer processing such as etching or CVD
Bell-operated microwave systems and microwave ECRs have large power delivery
Waveguides, expensive tuners, directional couplers, circulators, and
-Requires load. In addition, the micros operated at 2.45 GHz commercial frequency
875 gauss of magnetic field is required to meet the ECR requirements of the wave ECR system
This requires larger electromagnet, power and cooling specifications. [0007] It is not easy to expand and contract microwave systems and microwave ECR systems.
Absent. Hardware is available for 2.45GHz. It is this frequency
Is used in a microwave oven. 915MHz system available
It works, but it costs more. Hardware for other frequencies is easily or
Can not be obtained in advance. As a result, a 5-6 inch microwave system
When processing larger semiconductor wafers by enlarging the
Mode is required. Regulation at a constant frequency due to operation in higher modes
So called mode flipping to higher or lower loads
Requires very strict process control to prevent the resulting process changes
Become. Alternatively, for a 5-6 inch microwave cavity, for example,
To achieve this scale by spreading the plasma flux over a larger area
Can be achieved. According to this method, the active power density and thus the plasma density
descend. RF transmission line system As previously mentioned, concurrent transfer on July 31, 1990 under the inventor Collins and others.
US Patent Application No. 559,9 entitled "VHF / UHF Reactor System"
No. 47 (AMAT file 151-1) is referred to here. In this application
A portion of the reactor chamber itself is radio frequency plugged from the matching network into the chamber.
High frequency VHF configured as a transmission line structure for applying the energy generated by plasma
/ UHF reactor system is disclosed. This unique integrated transmission line structure
Meets very short transmission line requirements between the integrated network and the load, from 50 MHz to 8
Allows specification of relatively high frequency of 00MHz. This is the RF to the plasma electrode
Enables efficient and controllable application of plasma generation energy, and allows for relatively low ion
Commercially acceptable etch rates and depositions with low energy and low sheath voltage
Generate speed. Small dimensions that are electrically sensitive due to this relatively low voltage
The possibility of damage to the semiconductor device is reduced. This VHF / UHF system is described above
Various other factors in the prior art, such as reduced scaling and power constraints.
Prevent problems. [0008] The trend of high-density integration is to be electrically sensitive and to be as small as about 200 to 300 volts.
Vulnerable due to energetic particle bombardment when exposed to wafer sheath voltage
Resulted in parts and equipment of very small dimensions. Unfortunately, the voltage
Circuit components are less than the voltages experienced during standard integrated circuit manufacturing processes. [0009] MOS capacitors and transistors manufactured for advanced devices are very thin
(With a thickness of 200 Å or less). These devices
Charging can cause damage, which causes gate breakdown. this is
When neutralization of the surface charge does not occur, the plasma potential or plasma density
Generated during plasma processing due to uniformity or due to large RF displacement current
Sometimes. Conductors such as intermediate connecting lines may also be damaged for the same reason. SUMMARY OF THE INVENTION [0010] The present invention, which solves the problems of the prior art, comprises a true
Empty chamber, means for inductively coupling RF electrode energy into the processing chamber.
Located in the chamber, for example at or downstream of this coupling means
Coupling means for producing an article such as a semiconductor wafer, an RF cathode in the processing area,
The anode formed by the walls of the chamber, and to enhance the plasma treatment
Electrically floating or grounded or connected to an RF bias
Implemented in the structure and operation of the RF plasma processing system consisting of the
It is. Plasma source region electrode or the wall of the chamber forming the plasma source region
The structure can include silicon to enhance processes such as oxide etching.
You. Preferably, LF / VHF (from low frequency to 100 MHz) in the range of 100 KHz to 100 MHz
RF power is used (up to very high frequencies). More preferably, from 100 KHz
LF / HF power in the range of 10 MHz is used. Most preferably, 300KHz
MF (medium frequency) power in the range of 3 MHz to 3 MHz is used. Preferably, the coupling means is
The coil is a multi-turn cylindrical coil antenna whose electric length is less than λ / 4.
λ is the high-frequency RF excitation energy applied to the coil antenna during plasma operation.
Wavelength. [0012] The invention also provides a means for tuning an antenna to resonance connected to the antenna.
RF energy for the antenna, and the input impedance of the plasma source
A negative connected to the antenna to match the output impedance of the means for supplying
Including loading means. This tuning means is electrically connected between one end of the antenna and RF ground
Variable capacitance. RF energy is coil antenna
It can be applied via a tap to a selected location on the tip. [0013] The system also includes a dielectric dome or dielectric shield forming a plasma source region.
Including Linder. Preferably, a coil antenna surrounds the dome for inductively coupling high frequency electromagnetic energy into the chamber. The manufactured article is plasma
Within the source region or dome, inside the antenna winding or the bottom winding
Or close to, or preferably downstream of the antenna. The invention also provides a gas inlet at the top of the dome and a base of the plasma source region of the dome.
A first ring manifold and a chamber surrounding the wafer support electrode.
Secondary diluent, passivation and other gases for selective supply
Means for supplying gas to a chamber consisting of the ring manifold. Still further, the AC power supply and control system is typically the same as the plasma source coil power.
Coupling an AC bias power at a frequency close to it directly to the wafer supporting cathode,
Thereby independent of plasma density control performed by the plasma source RF power
To control the cathode sheath voltage and ion energy. This system has many
Provide a bias frequency selected to serve the purpose of First, on the frequency
Limits are “current-induced” damage (charging sensitive devices if the frequency is too high).
To prevent tip damage). The lower frequency limit is
It is selected in part to eliminate "voltage-induced" damage. Bias lap
The lower the wave number, the lower the wafer sheath voltage per unit bias power (excluding substrate heating)
) Is higher and has a smaller contribution to plasma density, and therefore ion density and ion density.
Independent control of on energy is improved. But if the bias frequency is too low
The ions follow the RF component of the wafer sheath electric field, thereby increasing the ion energy
Changes. As a result, the peak / average energy ratio is increased and the ion energy
-The distribution is wide (2 peaks). If the bias frequency is very low, insulator
Occurs, preventing ion-induced processing during part of the bias frequency period
And The preferred frequency range that meets the above precautions is the plasma source frequency range.
Corresponding to That is, preferably, LF / V in the range of 100 KHz to 100 MHz.
HF (low to very high frequency) power is used. More preferably,
LF / HF power in the range of 100 KHz to 10 MHz is used. Most preferably
, MF (medium wave) power in the range of 300 KHz to 3 MHz is used. [0015] The present invention further provides a DC bias voltage having a periodicity between a selected low value and a high value.
Pulse to form a passivation coating over the first selected material on the wafer
Control means to reduce the etch rate of the material relatively,
Material is selectively etched at a relatively high rate and selectivity
. Further, the chamber is connected to a first vacuum pump means connected to the chamber main body and a chamber.
Neutralized by the second vacuum pump means connected to the
To establish a vertical pressure differential within the dome to establish the flow of air
, The voltage on the wafer support electrode is such that the charged particles flow toward the chamber body
This is enough to overcome this pressure difference. [0017] The present invention also provides a coil antenna or other coupling means and a chamber.
Interposed between them to prevent coupling of the electric field component of the high frequency electromagnetic energy into the chamber.
A different configuration of the conductive Faraday shield is included. Also, coils or other
The high-frequency reflector arranged to surround the coupling means
Focus the radiation in the chamber. The magnetic enhancement is provided by surrounding permanent magnets or electromagnet configurations, which are
Controlled parallel to the axis of the antenna selected from a uniform diverging magnetic mirror configuration
A static magnetic field is applied to control the position and movement of the plasma downstream of the wafer. Also, the magnet
Attached around the plasma source or chamber to the chamber near the wafer
Applying a multi-pole cusp magnetic field, thereby confining the plasma to the wafer area
Sometimes the magnetic field of the wafer can be largely eliminated. In addition, the magnetic shunt is
It is placed around the wafer support electrode to change the direction of the magnetic field from the wafer support electrode.
Can be. This system configuration maintains low mode operation by selecting the operating frequency
It is possible to enlarge or reduce the size while doing so. In another aspect of the process, the present invention provides a method having a plasma source region and a processing region.
Providing an empty chamber; supporting the article on the electrodes in the processing area.
And a step of supplying a processing gas to the chamber, and the electric length is smaller than λ / 4.
Using a cylindrical coil antenna with one or more windings (λ is the RF applied to the antenna
Energy wavelength), RF energy is inductively coupled to the plasma source region
A plasma generating gas to produce one or more materials on the article
Capacitively couples RF energy into the chamber via the tip and support electrode
The plasma generation process includes a step of controlling the sheath voltage of the support electrode.
You. The method also includes automatic repetitive tuning of the antenna for resonance and its input impedance.
The impedance of the RF energy source to the antenna
Including ringing. In addition, this plasma generation processing includes a plasma source area, a processing area, a wall, and a processing area.
Providing a vacuum chamber having an electrode in a plasma source region and an electrode in the plasma source region;
Electrical connection between the tip, electrodes in the processing area, chamber walls and plasma source electrodes
(Processing area electrode is cathode, wall is anode, and plasma source electrode
Electrical connection is selected from ground, floating and RF or DC bias)
Supporting the article on the electrodes in the processing area, and applying a processing gas to the chamber.
Supplying, a cylindrical coil coil of one or more windings whose electrical length is smaller than λ / 4
Using an antenna (λ is the wavelength of RF energy applied to the antenna)
Energy inductively coupled to the plasma source region to provide one or more
Generating a plasma for fabricating the material, and via a support electrode
Capacitively couple RF energy to the chamber to control the support electrode sheath voltage
Consists of steps. At least one of the plasma source electrode and the wall of the chamber in the plasma source region
It can be silicon or contain silicon, and the plasma source electrode contains silicon.
RF biasing can be used to release into the plasma and improve processing. The antenna power and bias power supplied to the electrodes are anisotropic, semi-anisotropic and
It is controlled to selectively perform isotropic etching. The method includes etching silicon dioxide in silicon, using silicon enhancement,
Or CO or CO for improving selectivity and etching profile Two Addition of etc.
The use of things is included. In this method, the bias voltage is reduced by etching on silicon.
Selected low value to form the barrier, and the speed of silicon oxide to silicon
Periodically driven to a high value for etching at a high rate. In addition, this method includes sputter deposition of silicon oxide and first vaporizing silicon oxide.
Applying a relatively low level of RF power to the support electrode to deposit,
Relatively high support electrode for net sputter facets that deposit and planarize silicon oxide
Applying a low level of RF power. A specific aspect of the method is the oxidation formed on polysilicon (polycrystalline silicon).
Etching of contact holes in objects and through holes in oxides formed on aluminum
Etching, so-called "light" etching of silicon oxide and polysilicon, high speed
Etching of polysilicon conductors such as isotropic and anisotropic oxide etching and gates
Photoresist, photoresist removal, single crystal silicon anisotropic etching, anisotropic photoresist
Dist etching, low pressure plasma deposition of nitride and oxynitride, oxide, oxy
High pressure isotropic conformal deposition of nitrides and nitrides, aluminum and titanium
Etching of metals and their compounds and alloys, and sputter facets
Includes oxide etching such as local and global deposition with planarization, but oxide
It is not limited to the etching. Embodiments 1. Overview FIGS. 1 to 3 show an inductive plasma source apparatus for processing a semiconductor wafer 5,
1 is a schematic cross-sectional view of a plasma reactor chamber system 10 using an enhanced plasma source device, a capacitively coupled bias device, and other aspects of the present invention. this
The three figures illustrate preferred features and other features of the system. Control of drawing space
About three drawings are used. This exemplified chamber has an integral transmission line structure
10 is a modification of that shown in the co-pending, partially pending application. This departure
An important feature of the invention is that it can be widely applied to plasma reactor chambers. Sa
In addition, those familiar with the art will also appreciate the characteristics of the reactor system, as described below.
The various features of the invention that enhance its performance can be used individually or
Alternatively, it can be omitted from the system. For example, induction plasma source equipment and capacitive
Processing conditions provided by a coupled bias source eliminate the need for magnetic enhancement
Often become. The illustrated system 10 has an anodized side wall 12, a top wall 13, and a bottom wall 14.
Including a vacuum chamber housing 11 formed of aluminum or other suitable material.
No. Anodized aluminum is preferred because it reduces arcing and sputtering
is there. However, suitable polymer, quartz, or ceramic liners
Use other materials such as bare aluminum with or without
You can also. The top wall 13 is a lower chamber wafer formed between the walls 12-12.
Upper chamber plasma source section 16 formed by processing section 16B and dome 17
A has a central opening 15 between them. The dome is preferably quartz or other aluminum
Dielectrics such as some dielectric materials such as Na and alpha alumina (sapphire)
Configure as inverted single-wall or double-wall cup formed by material
be able to. In the embodiment shown in FIG. 1, the dome 17 has a cylindrical shape made of a dielectric material such as quartz.
Has 17W wall and normal aluminum or anodized aluminum cover
Or a top wall 17T. For highly selective oxide etching
A silicon or silicon containing top wall means and a silicon covered dome
Are preferred. As shown in FIG. 1, the inside of the chamber housing 11 (chamber 16) is reduced.
The evacuated air is supplied to a throttle valve 18 (independently of the flow rate) in a vacuum line 19 which leads to a vacuum pump system 21 consisting of one or more vacuum pumps connected to the bottom wall 14.
Adjusting the pressure). Chamber components, including chamber walls and domes, as described in Section 10.
Can be heated or cooled for processing performance. For example, the dome is liquid
Can be heated or cooled by a body or gaseous heat transfer medium, or
The dome can be heated directly using a heating element. As shown in Section 2 and illustrated in FIG. 2, process gas, purge gas, diluent and
Are the base of the plasma source (dome), the top plate 17T of the plasma source, and
And three manifold injection sources G1, G2 and
It can be supplied to the member by G3. For example, one of these gases
Is a computer-controlled flow controller from a source of more pressurized gas (not shown)
Is supplied to the chamber 11. In the main intake manifold G1, the gas
Is a quartz ring gas manifold mounted inside the top wall 13 or integrated with the top wall 13.
The internal vacuum processing chamber 16 is entered via the field 51 as shown at 22. Mani
Hold 23 is preferably etched or deposited plasma after application of RF energy.
Slightly upward angle to the chamber sections 16B and 16A to generate
An etching gas or a deposition gas is supplied at a time. Dome 17 top plate 1
The top manifold device G2 during 7T is a chamber for supplying a reactive gas or other gas to the chamber.
-16 can be used to take in. In addition, reactive gas around the wafer
And a manifold device 63 for supplying other gases. RF energy is supplied by RF supply and matching network 31
At least one turn of the antenna 30 or a plate source consisting of a coil
Supplied to the system. The antenna 30 preferably has a multi-turn cylindrical configuration. Koi
30 is the minimum conductor electricity for a given frequency and plasma source (coil) diameter.
Length, preferably an electrical length of 1/4 wavelength (<λ / 4) or less at the operating frequency
Having. The antenna 30 itself is not a resonator, but the Faraday inductive coupling law
To perform effective inductive coupling with the plasma source as described in Section 5
Tuned to. Preferably, the flow of gas from the chamber plasma source 16 A is directed toward the wafer 5.
Flows downward, and then is drawn radially outward from the wafer. For this purpose
Around the cathode transmission line structure 32, one side of the chamber wall 12 and the other
Between the outer transmission line conductors 320 on the side and on the bottom of the chamber bottom wall 14 and on the top.
An annular vacuum manifold 33 between the pumping screens 29 can be formed.
Wear. The manifold screen 29 is composed of a vacuum manifold 33 and a wafer processing chamber.
16B between the chamber wall 12 and the outer conductor 320 of the transmission line structure 32.
Provide a conductive path between them. The manifold 33 is used for uniform exhaust gas from the periphery of the wafer 5
An annular pumping channel is formed for performing radial drawing. Discharge
The manifold 33 communicates with the exhaust gas system line 19. Gas flow is Maniho
To the dome / plasma source along path 22 from
The fluid flows radially inward toward the wafer 5 along the passage 26 from the gate G3. all
The physical gas flow from the upper chamber plasma source 16A along the passage 34
Eha 5, exhaust manifold 3 from wafer along screen 3 through screen 29
3 and along the passage 37 from the exhaust manifold 33 to the exhaust system 21
. Conductive manifold screen 29 and cathode transmission line structure are optional.
You have to be careful. Usually, the wavelength is very low on the lower frequency side of interest.
And therefore no transmission line structure is required. This is in contrast to the configuration of a conventional RF system, where the RF power is two electrodes,
Normally, the upper surface of the wafer support electrode 32C supporting the wafer 5 and the reactor chamber
Between the second electrode which is the side wall 12, the top wall 13 or the manifold 23 of the
It is. That is, the antenna 30 is connected to the dome 17 and the antenna outside the plasma chamber 16A.
RF electromagnetic (em) energy is placed adjacent to these
An electric field is induced in the process gas by coupling to the member 16A. Fa
The B (magnetic) component, whose em energy changes, is
The process gas is energized to form a plasma in the chamber 16 having a characteristic of relatively high density and low energy ions (reference numeral 16 denotes the chamber 16A,
16B and plasma collectively. ). This plasma is
Occurs in a small volume formed in the antenna 30. Ion, electron
Activated species, including free radicals and excited neutrals, are diffused by the gas flow described here.
It moves downstream towards the wafer by bulk flow. Also described in section 7
Thus, using an appropriate magnetic field, ions and electrons directed to the wafer are
Can be extracted. This is optional, but the plasma source 42 and bias
The bias energy input device 41 of FIG.
The energy is coupled to the wafer support electrode 32C to select the plasma sheath voltage of the wafer.
Selectively increasing the ion energy of the wafer.
Is preferred. The reflector 45, which is basically an open box at the bottom, has an antenna at its top and side
, But do not surround the bottom of the antenna. This reflector is RF energy
Of the plasma into the free space, thereby preventing the radiation and dissipation of power in the plasma.
Concentration is increasing efficiency. As described in detail in Section 7, the Faraday shield 45 of FIG.
Can be placed inside, above and below, allowing the magnetic field to couple to the plasma
However, direct electric field coupling is disabled. Direct electric field coupling causes tilt and unevenness in the plasma.
May induce one. Otherwise, the charged particles may be accelerated to high energy.
You. As described in Section 8, the plasma density of the wafer 5 is improved,
As an option to transport ions or improve plasma uniformity
One or more electromagnets 47-47 or permanent magnets in the chamber
Can be mounted close to the enclosure 11. As described in detail in Section 4, the present invention usually includes a microwave or a microwave.
Force of inductively coupled electromagnetic energy at a frequency much lower than the wave ECR frequency
Components to provide potentially damaging high power RF energy to the wafer.
A circular electric field is induced in the vacuum chamber in order to generate a plasma having a characteristic of high density and relatively low energy without coupling to the plasma. Illustrated below preferred
In a flow plasma source configuration, RF energy is transferred away from the wafer and complete at high plasma densities.
It is fully absorbed and does not propagate the wave to the wafer, thus minimizing the possibility of damage.
I'm trying. RF bias energy was the wafer sheath voltage, as needed
Thus, it is selectively applied to increase the ion energy. The chamber 11 has a total chamber pressure of about 0.1 mt to about 50 torr, usually
Processing of semiconductor wafers (evaporation and etching
G) can be performed. This chamber operates at pressures below 5 mTorr
Was able to do and actually worked fine at 2 millitorr. However, for certain types of processing,
High pressures are preferred in that they increase pumping speed and flow rate. For example,
A pressure range of about 5 mT to about 50 mT is preferred for oxide etching
. At such relatively high pressures, the distance between the plasma source and the wafer must be reduced.
Must. The chamber of the present invention is between the wafer 5 and the bottom winding of the antenna 30.
And about 5cm / 2in. And very suitable small spacing d, for sensitive equipment
It worked well without charge-up damage to it. So this
The advantage of having a very small spacing, such as the etch rate and selectivity
Improved bias voltage and ion energy for a given etch rate
Reduced conditions and improved energy uniformity on the wafer are achieved. for example
If the distance d between the wafer 5 and the source antenna 30 is 10 cm / in. (While this is small
From 5 cm / 2 in, the required voltage is halved and the uniformity is about 2.
It increased from 5% to about 1%. 2. Multiple gas injection As described above, this chamber contains different gases such as reactive gas, purge gas, etc.
And the processing conditions (etching, deposition, etc.)
Gas injection sources G1 and G2 for improving processing according to the material used for
, G3 (FIG. 2). First, the chamber is located in the plasma source region 16B.
It has a standard radial gas distribution system G1 around the base / bottom. With a suitable configuration
The G1 injection system comprises a quartz gas distribution ring 51 at the bottom of the plasma source and a peripheral annular manifold 52 forming a distribution channel supplying gas to the ring.
. The ring has inwardly directed radial holes 53-53, preferably a hollow cathode discharge.
Step-shaped sintered ceramic porous gas diffusion inserted into said hole to prevent electricity
It has plugs 54-54. The second gas injection device G 2 is provided with a central suction packed porous ceramic diffusion disk 57.
Grounded, made of a material such as anodized aluminum having pores 56
Or a floating or biased dome top plate 17T. The third gas injection source G3 is a ring-shaped intake manifold attached around the wafer 5.
58 (or a clamp ring used to hold the wafer on the support
(Not shown)). Example: Silicon oxide etching on polysilicon using polymer enhanced selectivity. As described above, etchant and deposition gas, passivation gas, diluent gas, etc.
One or more of the various gases selected from G1 to G3
Supply source to the chamber to supply specific etching / deposition processes and materials.
The required conditions can be satisfied. For example, this inductive source antenna 30 is very
To provide a high-density plasma in the dome plasma source region 16A of the chamber.
Very effective for gas dissociation. Therefore, the types of gases that form the polymer
When supplied to the dome via G1 or G2, highly dissociated gas
The inside of the dome can be coated at the expense of the coating of the cone
. Alternatively, the dissociation degree of this gas is very high,
It may not adhere to the surface of the concrete. The solution is to use C Two F 6 Or CF Four Saying
The etchant via G1 or G2, or via G1 and G2.
Incorporated into the Zuma source area 16A, CH Three F or CHF Three That form a polymer such as
Supply from the intake port G3 to select on polysilicon without destructive dissociation.
Alternatively, there is a method of forming a polymer. Example: Silicon oxide etching on polysilicon using silicon-containing gas chemistry. Due to the high degree of gas dissociation in the source region, fluorine-containing gas (fluorine
Typically etch silicon and thus produce free fluorine which reduces the etch selectivity to oxide. When high selectivity is required
Inject the silicon-containing additive gas to stop this free fluorine activity and
Reduce ching. The etchant gas and the silicon-containing additive gas pass through G1 and G2.
And can be introduced separately. Alternatively, a mixture via G1 or G2
Can be introduced as Suitable fluorine-consuming silicon-containing additive gases include:
Silane (SiH Four ), TEOS, diethylsilane and silicon tetrafluoride (SiF Four )
is there. Selection of Etching Using Fluorine Consuming Gas and Polymer Forming Additive Gas in the Same Process
The degree can be improved. Example: Silicon oxide deposition The deposition rates are oxygen-containing gas and O Two And Ar Two Via G1 or G2
Supply and also SiH Four Of silicon-containing gas such as via G3
Can be improved. 3. Differential pumping FIG. 2 shows an alternative vacuum pumping configuration. At or near the bottom of the chamber
In addition to the vacuum pumping system 21 connected beside, the vacuum pump 39
Is connected to the plasma source region 16A in the dome 17 via the Pumping system
The flow rates of chambers 39 and 21 are such that they have a pressure differential perpendicular to plasma source region 16B.
ΔP p To be generated. This pressure difference ΔP p Is (1) plasma source 16
A prevents the transfer of uncharged particles from A to the wafer 5, and (2) a bias voltage
F applied to charged particles such as electrons and ions b Less than. ΔP p for
, Uncharged particles such as groups do not arrive at the wafer 5, but rather mainly at the top vacuum connection
Outflow from 38. F DC > ΔP p Charge electrons and ions are mainly
And flows into the processing area. This method uses wafers instead of ions selectively
It is clear that it is effective when you want to be out of range. This situation is, for example, (1
) Using the chemistry of the polymer forming gas, but the polymer is formed in the plasma source region
If it adheres to the side wall of the chamber or does not adhere well to the desired wafer surface
Or (2) when a fluorine group is formed in the plasma source region. 4. RF power, top and bias plasma sources 1) Top or antenna plasma source In FIG. 1, the operating frequency of the RF power source 31 of the upper plasma source 30 is preferably high.
Generates a strong plasma to minimize damage to sensitive equipment and to reduce RF power
It is selected to provide efficient inductive coupling to the horse. That is, this operating range
The frequency above the box is limited to minimize "current-induced" damage
It is. Lower operating frequency selected to increase efficiency of RF power coupling to plasma
Is done. Preferably, the LF / V is in the range from about 100 KHz to about 100 MHz.
HF (low to very high frequency) AC power is used. More suitably
Has an LF / HF (from low frequency to about 10 KHz to about 10 MHz)
Power is used (up to high frequencies). Most preferably, from about 300 KHz to about 3 MHZ
MF (medium wave) power in the range up to z is used. 2) Lower or bias plasma source The AC power supply 42 of the wafer supporting cathode 32C inductively couples the RF power to the plasma.
Control thereby independent of the plasma density control performed by the RF power.
Various factors including the cathode sheath voltage and ion energy
Perform control. The bias frequency is selected to achieve many goals. First,
The upper frequency limit prevents current induced charge-up damage to sensitive devices.
Selected to stop. Low frequency partially voltage induced damage
Selected to eliminate. If the frequency bias is low, the unit
Wafer sheath voltage (excluding heating) per ass voltage increases, leading to plasma density
Contribution, and therefore improved independent control of ion density and ion energy
I do. However, if the bias frequency is too low, the ions will
Following the components, thereby modulating the ion energy. As a result, the peak
/ Average energy ratio is high and ion energy distribution (between peaks) is wide
Become. If the bias frequency is too low, insulation charge-up occurs and the bias
Disable ion-induced processing as part of frequency control. The applicant has noted that the above precautions should be taken for the bias frequency corresponding to the plasma source frequency range.
It has been found that it is satisfied by using the wavenumber range. That is, preferably
Bias power ranges from about 100 KHz to 100 MHz (LF / VHF frequency)
Is within. More preferably, the frequency of the bias power is between about 100 KHz and 10 MHz.
(LF / HF frequency). Most preferably, the frequency of the bias power is about
It is in the range from 300 KHz to 3 MHz (MF frequency). 3) Connection operation of upper plasma source and bias plasma source A preferred feature of the present invention is that the lower or bias power supplied by power supply 42 is provided.
Is to automatically change the force to maintain a constant cathode (wafer) sheath voltage.
You. At low pressures (<500 mt) in highly asymmetric systems, the cathode 32
The DC bias measured at C is an approximation of the cathode sheath voltage. The lower power is
It can be changed automatically to maintain a constant DC bias. At the bottom
Alternatively, the effect of bias power on plasma density and ion flow density is very small.
Top or antenna power has a very large effect on plasma density and current density,
The effect on the cathode sheath voltage is very small. Therefore, the plasma density
Use the upper power to define the ion current density and the cathode sheath voltage
It is desirable to use lower power. Nevertheless, the high frequency of the plasma source 31 that drives the antenna 30
Far higher than the frequencies used for microwave or microwave ECR applications
Option to be operated at lower DC current due to lower cost power supply
A smaller magnet can be used. In this case the associated heat load is also small
. Further, as is clear from the above description, a coaxial cable such as 31C is used instead of the waveguide.
Cable can be used. In addition, other magnetically enhanced or magnetically assisted
Plasma non-uniformity caused by ExB electron drift in a controlled system
Does not exist here. This is because the applied magnetic field (ignited via antenna 30)
The magnetic component of the HF field and any static magnetic field applied by the magnet 81.
Is substantially parallel to the electric field of the cathode. Therefore, this system does not
There is no lift. A plasma source (upper chamber 1) is formed using a magnetic shunt formed of a material having a high magnetic permeability.
6A), a B field is generated and not a wafer. As an option, a permanent magnet or an electromagnet may be provided in the lower chamber 16B.
Around the multi-pole arrangement of the alternating normal pole configuration of normal NSNS ... NS
Multi-cusp magnetic mirrors can be created on the plasma source or on the chamber walls.
The magnet can be a vertical bar magnet or, preferably, a horizontal ring magnet, for example.
Wear. Such a magnet reduces electron loss to the wall, thereby exposing the wafer to a magnetic field.
Can be used to improve plasma density and plasma uniformity without
You. 4) RF power coupling and synchronization As mentioned above, the upper or lower frequency and preferred frequency of operation of the antenna RF power supply
Alternatively, the preferred frequency of operation of the bias RF power supply advantageously falls within the same range.
ing. As a configuration that can be selected here, these two RF power supplies
There is a way to combine them into one power source instead of using them separately. More generally
If all three RF signals (including RF bias to the third or upper electrode)
From one power source, or one power source for antenna and lower bias
Use a second plasma source for the third electrode or use three separate power supplies
There is a possibility. Must be considered if separate power sources are used
This means that the frequencies of the separate RF signals must be equal or equal.
If not, these signals must be locked to some desired phase relationship.
It is not whether it is. Answers to these questions from preliminary studies
It has been found that this depends mainly on the operating frequency selected. Two or three RF
If one frequency can be selected for the power supply and that frequency is
A single RF source can be used if it is not likely to change
It is a logical choice. Based on the discussion discussed in subparagraphs 1-3 above
Different frequencies are needed for these plasma sources, or
Separate RF power supply when frequency must be changed for
Will be needed. If there are separate power sources and the same frequency is selected, phase synchronization becomes a problem. For example, a plasma source may have an RF voltage input to the antenna and a lower or
Phase angle between RF voltage input to EHA electrode to optimize machining repeatability
Can be synchronized so as to be maintained at a constant value selected. About 10MHz
At these higher frequencies, operation is considered independent of phase or frequency synchronization.
Can be 5. Antenna tuning and load 1) tuning Normally, the antenna 30 is configured so that (1) the frequency of the generator 31 resonates with the antenna.
Connected to the antenna by changing or (2) tuning to resonance
Tuned to resonance by another resonating element. For example, this tuning element is variable
Inductance-earth, or variable capacitance-earth
Can be. It should be noted that inductive and capacitive tuning lower the resonant frequency.
No. Therefore, configure this system to have the highest desired resonance frequency.
The resonance frequency when using the capacitance or inductance tuning variables.
It is desirable to address the drop in numbers. Automatic tuning is preferred and tuning / loading using impedance phase / amplitude detectors
This can be done by driving a variable. See FIGS. 6 and 9. Also,
Both tuning and load variables using a reflected power or VSWR bridge
Can be driven, but requires repetition. 2) Loading Plasma source antenna 30 using conductive, capacitive or inductive load means L
To the impedance of the RF generator 31 and the connecting coaxial cable 31C.
Can be. For example, tap or wipe 50 ohms or 300 ohms
Ohmic near the generator or at another generator output impedance location on the antenna
Can be contacted. Variable inductance or variable capacitance
Can be connected to the generator output impedance point 50 on the antenna. 3) Tuning circuit and load circuit 4 and 9, the plasma is preferably integrated with the plasma source antenna 30.
A tuning means T is provided for flushing the source to resonance. In addition, the integrated load means L
The input impedance of the power source antenna 30 is set to the value of the associated generator 31 (or transmission line 3).
1C) to match the output impedance. In FIG.
In some aspects, the tuning means T is electrically connected between one end of the antenna 30 and RF ground.
Is the variable capacitance connected to. As shown in FIG. 5, in another aspect, the load means L is connected to one end of the antenna.
There may be a variable capacitance electrically connected between the RF grounds.
This load means is a variable position tap 60 for applying RF input power to the antenna.
Can be Please refer to FIG. In the preferred combination shown in FIG. 7, the tuning means T comprises one end of the antenna 30 and the RF antenna.
The load means L is a variable capacitance electrically connected between the
Another variable capacitance electrically connected between the other end of the
. In this configuration, the RF input power is routed through the tap, i.e., along the antenna.
Or applied to the antenna via a tap provided at one of its ends
Can be. Please refer to FIG. Also, the RF power input connection 66 is shown in FIG.
It can be arranged at the connection between the load variable capacitance L and the end of the antenna 30 as shown in FIG.
it can. 6. Source / bias processing control The present invention also provides a high silicon dioxide etchant with a sufficiently high bias voltage.
By providing a pulsing speed and periodically pulsing the bias voltage to a lower value,
The etching rate of materials such as silicon dioxide has increased, and compared to materials such as silicon.
Includes the finding that the etch selectivity of silicon oxide is increased. 1) Pulse / Modulation Bias-Improve Etch Rate and Selectivity In FIG. 10, the normal silicon dioxide SiO Two Etch rates for materials such as
It increases as the source voltage increases. Therefore, if the bias voltage is increased, the oxide
Etching rate increases. Unfortunately, however, silicon / polysilicon integration
The etch rate of the relevant material in the circuit structure also increases with the bias voltage. Therefore, large enough to provide very high silicon dioxide etch rates
With a bias voltage of, the silicon etch rate is (rather than the oxide etch rate).
The value is too high (although somewhat lower) and the selectivity decreases. Remove silicon dioxide
When switching, high DC bias voltage V h High oxide etch characteristic of
Speed and low direct bias voltage V 1 Relatively low silicon etching characteristic of
It is very desirable to obtain a combination of rates, and thus high oxide selectivity
Very obvious. Here, looking at the DC bias voltage waveform 70 of FIG. h And V 1 Combining the characteristics of
The seemingly contradictory purpose presented in the previous paragraph,
DC bias voltage V h To reduce this voltage to a low value V 1 Or pulse periodically
Is modulated to produce a polymer-forming etching process (e.g.,
(A process to form a pitching-inhibiting polymer). V 1 Hedgehog
The intersection between the chin and the silicon deposition / voltage 68 (FIG. 10) or less, and the oxide intersection
The point / voltage is 69 or more. As a result, the protective polymer is deposited on the silicon and
Switching voltage V h To suppress etching while returning to h Oxide etch at
Deposition does not or does occur on oxides that add significant suppression to
Not enough. Preferably, V 1 Is characterized by deposition on the polymer, but at least
Slight etching of the oxide. In one embodiment of the present invention,
TA V h (High DC bias voltage), V 1 (Low DC bias voltage), P w (Low voltage V 1 of
Pulse width), and P rp (Pulse repetition rate of low voltage pulse and high voltage pulse or
Are the combined widths) of -400V, -225V, about 0.1 second, and
About 1 second. 2) 2 frequency bias An alternative method is illustrated by the DC bias voltage waveform 71 of FIG. Relatively low lap
The wave number voltage fluctuation is superimposed on the basic bias voltage frequency. For example, low frequency T
Two <25 KHz (preferably 5-10 KHz) based on high frequency T 1 Superimposed on <2 MHz
Alternatively, they are mixed. Silicon oxide is an insulator. Silicon / polysilicon is usually
It has only a very thin intrinsic oxide layer. Therefore, the low frequency T Two Is not observed on the oxide surface. Because this is charged
That's why. However, basically uninsulated polysilicon has a low frequency T Two Sa
Ikle Low Voltage Excursion 72 (V 1 ) By forming a protective layer inside
Low frequency T in a manner similar to that described above. Two Reacts to. Formed at this low frequency
Layer is high frequency T 1 During the high-voltage excursion 73 with varying cycles,
Disable the ching. As described above, the insulating property of silicon dioxide causes T Two Low
During voltage excursion, etching suppression deposition was disabled and oxide etching
T 1 Proceed uncontrolled during the high voltage portion of the cycle. That is, the low frequency cycle T Two On silicon during low voltage excursion 72
Protective layer is formed, high-period to rapidly etch oxides without suppressing deposition
Wave number cycle T 1 Suppress silicon etching during high voltage excursion 73
You. As a result, as with the pulse / modulation method described above, a high silicon oxide
Silicon etch rate, relatively low silicon etch rate and high oxide
Etching selectivity is obtained. The pulse / modulation method currently uses a two-frequency bias method.
Note that it is preferred. This means that the former performs precise control
This is because 7. Faraday shield Load capacitor L at input end, tuning capacitor T at the other end, and relatively low input end
Coil of a typical antenna 30 having a higher voltage at the other end, and a much higher voltage at the other end.
Let's consider the configuration. The lowest winding of the coil near the ground is low voltage RF
Connected to input. Usually, the plasma initiates the decomposition of the gas electrostatically
Therefore, the plasma is exposed to the electrostatic field of a relatively high voltage winding near the tuning end where it starts.
You. Following the onset of decomposition, coupling to the plasma is primarily electromagnetic or inductive.
Become something. Such an operation is well known. Under steady state conditions, electrostatic
Both coupling and inductive coupling exist. Electromagnetic coupling is more predominant, but
Some are sensitive to electrostatic fields. For example, etching of polysilicon
Low energy particles and low energy impact to prevent oxide etching
is necessary. Referring to FIGS. 1 and 15, to reduce the static electric field in the steady state,
The chamber of the present invention has a built-in Faraday shield 45 as an option.
You can also. The structure in the embodiment shown in FIG. 15A includes a dome wall 17W and an antenna.
Grounded spaced axially extending posts or bars surrounding the corners 30
Also referred to as "single" Faraday shield 45S, consisting of other cylindrical arrangements
Things. This single shield allows for a large spacing between parts of the shield
Various configurations can be provided up to a configuration in which the interval between is very small. FIG. 15B shows a pair of identical bars spaced such that one bar overlaps the other.
Shown is a so-called "all" Faraday shield 45F consisting of a shield of the heart. this is
Eliminates the line of sight of the electric field lines passing through the shield, thereby shunting the electrostatic field. Although various configurations are possible for the Faraday shields 45S and 45F,
A presently preferred configuration is an electrically conductive, flanged, outwardly facing flange shown in FIG.
It has a cylindrical configuration with open ends. Filters with single or double wall openings
Field surfaces 46, 47, 48 around the top, inner (source) and bottom surfaces of the antenna
And the ground side 49 (which does not have to be open here) is outside the antenna.
Located on the side. According to this configuration, the magnetic component of the electromagnetic wave from the antenna 30 in the axial direction is
Inducing a closed-loop electric field parallel to the plane of the antenna that produces the plasma 16
And enable. However, shield 45 capacitively couples the direct electric field component to ground.
To prevent direct electric field components of high frequency electromagnetic energy from coupling into the plasma
I do. When the shield 45 is used, the fluctuating voltage of the antenna is coupled to capacitive displacement current coupling.
Coupled to the plasma according to the Maxwell equation. This is the plasm
Inhomogeneities and gradients are induced in the density of the wafer and the energy of the wafer 5, causing non-uniform processing and
High energy charged particles may be generated. Faraday in integral form
According to the law, a changing electric field through a plane must produce a closed electric field on that plane.
Must. The Maxwell equation that expresses this phenomenon in differential form is the induced electric field
The vortex indicates that it is proportional to the negative time rate of change of the magnetic field. For sinusoidal excitation
, The induced E is proportional to the changing B-field radiation frequency and its peak amplitude. That is, a Faraday sea that is discontinuous, slit, or divided
Field minimizes the short-circuit effect of the shield on changing electromagnetic fields from the coil,
Reduce eddy current losses and enable coupling of high frequency axial magnetic fields to the plasma,
Induces a closed-loop electric field that creates a plasma, which is
Disturbs direct coupling to the plasma, thereby causing non-uniformity of the plasma
Eliminates losses such as non-uniformity and processing non-uniformity for high energy charged particles. 8. Magnetic field limitation and enhancement 1) Restriction Low loss (lower plasma density) at wall 17W of cylindrical / dome plasma source
To reduce this, magnetic devices have been provided which generate a peripheral annular (shallow) magnetic field.
In the preferred configuration shown in the horizontal cross-sectional view of FIG.
In a "bucket" or cylindrical multi-pole array with electromagnets 76-76 placed close together
Are provided, each magnet being magnetized in its short direction and having a closed alternating magnetic pole.
A peripheral-NSNS-magnetic field B is formed. This multi-pole array has another cusp on the dome wall.
A magnetic mirror 77 is generated. This arrangement can also be a horizontal ring magnet.
Wear. Such a magnet reduces electron loss in the wall 17W and does not expose the wafer to magnetic fields.
Improve plasma density and uniformity. Similarly, a permanent magnet or an electromagnet is usually provided around the lower chamber 16A.
Are arranged in a multipolar array having an alternating configuration of NSNS ... NS and the chamber wall
A multi-cusp magnetic mirror can also be generated. These magnets are vertical bars
It can be a magnet, and is preferably a horizontal ring magnet, for example.
Wear. Such magnets can be used to reduce wall electron loss, thereby reducing wafer
The density and uniformity of the plasma are improved without exposing the c to the magnetic field. In addition, the magnet
The radial array is placed on the top or top plate 17T of the dome of the cylindrical plasma source.
To reduce losses at the top. Referring to FIG. 3, the plasma in the substrate processing region 16 B has a substantially planar shape.
By placing the grid of magnets at the bottom of the plasma source area and at the top of the processing area
To generate or decouple from the plasma in the plasma source region 16A.
You. This magnetic grating is similar to the bucket configuration described above, with adjacent, nearly parallel magnetic bars.
78-78, which are NS-magnetized in the short direction, and the magnetic field lines exit from one bar.
To provide a -NS-NS-NS-field in a planar configuration terminating at the next bar. The result
A substantially planar magnetic filter 79 over the opening 15 of the resulting plasma source
Restrict magnetic field to this plane and plate area, penetrating both plasma source and wafer area
do not do. From the relationship of F = qV × B, high energy / high speed electrons of the plasma source
9 is bent or repelled to a higher degree than ions, and
Cannot penetrate the area. As a result, the high energy electricity in the processing area 16B is
The density of the electrons decreases, and the plasma density in the same region decreases. Processing area and plasma source area
Regions are decoupled. The magnetic limiting method with this filter decouples the plasma region of a small system
Especially effective for That is, for example, it is not necessary to increase the ion density on the substrate.
Provides high substrate density while maintaining compactness. In one preferred configuration,
Filter magnetic limit machine finish with hollow bar and elongated magnet for air cooling
This is carried out using an aluminum plate. A bucket magnetic limiting configuration and a filter magnetic limiting configuration can also be used together. 2) Augment As mentioned above, one or more (preferably at least two) as shown in FIG.
1) Using a permanent magnet or electromagnets 81-81 to make the antenna coil horizontal and high
At right angles to both the electric field induced by the wave RF radiating antenna
A substantially axial electrostatic field passing through them can be formed. Preferably described below
As such, one of three types of magnetic fields is used: a uniform magnetic field, a divergent magnetic field, or a magnetic mirror. Referring to FIG. 14A, at right angles to the wafer 5 by magnets 81-81.
The applied homogeneous axial uniform magnetic field 82 restricts electron movement to the wall. ion
Ions cannot follow the high-frequency magnetic field fluctuations,
Gather in the upper plasma. For maximum efficiency, use this magnetic field or other static
The field can be tuned to resonance with a high frequency electromagnetic field. Ω = 2πF = Be / m, where
And B is the magnetic flux density, and e and m are the charge and mass of the electron, respectively. FIG. 14B schematically shows the divergent magnetic field 83 in the axial direction. For preserving magnetic moment
Thus, the axial gradient of the magnetic field converts circular translational energy to axial translational energy,
Attempts to drive on from a strong magnetic region to a weaker magnetic region. Diverging magnetic field is electron
And ions are pushed out of the plasma generation area to concentrate the plasma on the wafer.
Can be. Referring to FIG. 14 (C) and FIG. 14 (D), the expansion magnetic field or the subsidy
A magnetic field 84 (FIG. 15C) and a cusp-shaped or opposed magnetic field 85 (FIG. 15D)
Is shown. The effect of each of these so-called “magnetic mirror” magnetic fields is
This is the same as the effect of the magnetic field. Charged particles from relatively strong magnetic field region to relatively weak center
Area. The magnetic field provided by one or more magnets, with the magnets being selectively positioned
By selecting and varying the strength of the associated uniform divergent magnetic field or
The magnetic mirror magnetic field is controlled to increase the plasma density of the wafer. Magnetic
For a mirrored magnetic field, the preferred wafer position for maximum plasma density enhancement is
Overhang or on the cusp or in close proximity to it,
A maximum plasma density enhancement is obtained. There is a case where it is desired to improve the generation of plasma by using an axial magnetic field for the volume of the antenna, but to eliminate the magnetic field on the wafer. (Nickel for soft iron
Is an annular disk made of a highly permeable material (such as steel)
It can be interposed on the lower wafer 5. 3. Extraction Using a suitable magnetic field, ions and electrons can be extracted in the direction of the wafer. 9. Control system The following definitions are used for the control system shown in FIG. Psp Power set point Pf Positive power (measured by directional coupler placed on power supply) Pr reflected power (measured by directional coupler placed on power supply) | Ζ | Impedance magnitude <phi Impedance phase Tsp Tuning Set Point Lsp Load Set Point Tfb Tuning Feedback (Measured Value) Lfb Load Feedback (Measured Value) FIG. 16 shows a block diagram of a typical system for controlling various components including a power supply.
FIG. Here, the system controller 86 controls the antenna power supply 31 and the impedance
-Dance bridge 87, antenna 30, bias power supply 31, impedance bridge
Interface 88, matching network 43, and cathode 32.
ing. Process parameters selected for ion flux density and ion energy,
Antenna power and DC bias are provided as inputs to controller 86
. Controller 86 also controls the gas flow, chamber pressure, electrode or wafer temperature.
Control the temperature, chamber temperature and other parameters. The controller is
Signal on the Tsp1 and Lsp1 lines connected to
Thus, the initial tuning 1 and load 1 conditions can be set. In addition,
Are on the Tsp2 and Lsp2 lines connected to the matching network 43.
By issuing a signal, the initial tuning 2 and load 2 conditions can be set. Normally, these conditions are to optimize the onset of plasma (gas breakdown).
Selected. Power is first applied to either antenna 30 or cathode 32 or its
Can be simultaneously applied to both. Controller 86 goes to antenna power supply 31
At the same time or sequentially on the Psp1 line and the Psp2 line to the bias power supply 42.
Either of them may come first). A power set point is issued. An avalanche breakdown occurs rapidly in the gas and plasma is generated. control
The antenna 86 monitors the forward power (Pf1) and the reflected power (Pr1) with the antenna 30.
And the forward power (Pf2) and the reflected power (Pr2) between the cathode 32 and
Monitor. DC bias (cathode-anode DC voltage) is also applied to controller 8
It is monitored as shown in FIG. The controller uses (a) forward power Pf1 and reflected power
Force Pr1 or (b) impedance magnitude | Z1 | and impedance phase
Emit setpoints on lines Tsp1 and Lsp1 based on any of <phi1
Then, the parameters of the coil tuning 1 and the load 1 are adjusted. Bridge 87
The information of the magnitude and the phase angle of the impedance is given to the controller. Antenna 30
Is the impedance (magnitude and phase | Z) when the reflected power Pr1 is almost zero.
1 | <phi) is a match when the coil power supply output impedance is complex conjugate
doing. (The zero reflected power condition and the conjugate impedance condition occur simultaneously.
Therefore, either the reflected power is minimized or the impedance is matched
And the result is the same. Alternatively, VSWR (voltage standing wave ratio) or
The reflection coefficient is minimized. ) The controller 86 has (a) forward power Pf2 and reflection
Power Pr2 or (b) magnitude of impedance | Z2 |
Emits setpoints on lines Tsp2 and Lsp2 based on either phase <phi2
The parameters of the cathode 32 and the matching network tuning 2 and load 2
To adjust. The bridge 88 provides the controller with the magnitude | Z2 |
Give information of phase <phi2. As with antenna matching, the reflected power Pr2 is
When almost zero, the impedance (magnitude | Z2 | and phase <phi 2)
Matching occurs when the output impedance of the bias power supply 504 is complex conjugate.
You. The DC bias is monitored by the controller 86. The controller 86 changes the output power of the bias power supply to obtain a desired measured DC bias. Control
The controller 86 subtracts the measured value of the DC bias from the desired value of the DC bias. That
If the difference is negative, the output of bias power supply 42 is raised. When the difference is positive
In this case, the output of the bias power supply is reduced.
The ass grows in the negative direction. According to this method, proportional control, proportional integral control,
Alternatively, proportional integral derivative control or other control can be used. The output of the bias power supply 42 is adjusted to maintain a constant DC bias.
Instead of the embodiment, a constant bias power supply output can be used. In addition to the DC bias servo matching technology described above, peak-to-peak RF
Automatic tuning can also be performed by servoing to pressure. This latter method is
The cathode and anode must have sufficient conductive area to provide current for driving the instrument.
It may be effective in a certain kind of etching process. Polymer Co
These conductive areas are passivated when using
Is prevented from being saturated, and an effective reading can be obtained. In contrast, peak-to-peak
The method of peak RF voltage has an effect especially at low frequencies related to the preferred frequency range
Not receive. The measured value is a matching network 43 close to the chamber, not the cathode.
Can be obtained at The controller 86 may be a central controller or a distributed system of controllers.
Can be. Turn-on / turn-off sequences are important to obtain a sensitive wafer device structure.
It is important. In general, it is preferable to turn on the plasma source first and turn it off last
. This is because this method can minimize the change in sheath voltage. Ap
In some applications, it may be better to turn on the bias first. Ten. Transmission line structure As described in detail in the referenced patent application U.S. Pat.No.
Axial transmission line design includes power supply through low characteristic impedance, matching network
A short transmission line from the wafer to the wafer and a return path along the transmission line are required. This design condition is based on the cathode 32C, the concentric annular conductor 320, and the cathode 32C.
Insulates the surrounding cathode from the concentric annular conductor 320 and provides a potential breakdown
1. The integral transmission line shown in FIG. 1 made of a nonporous low-loss insulator 321 replacing a process gas
Satisfied by structure 32. For example, Teflon TM And quartz materials have high dielectric strength
It is suitable because of its low relative dielectric constant and low loss. The input side of this structure is described next.
Connected to the matching network in a manner described. With insulated cathode 32C
Outer conductor 320 provides a separate current path between matching network 43 and plasma 16.
Offer. One reversible current path runs from the matching network along the perimeter of cathode 32C.
To the plasma sheath on the surface of the chamber (electrode). The second reversible path is Plas
Along the inside of the upper part of the chamber habit 12 from the
Along the screen 29 and through the interior of the outer conductor 320 to the matching network.
I will. The exhaust manifold screen 29 has a uniform radial gas pumping system and R
Note that it forms part of the return path for the F current. During the application of AC energy, the direction of the RF current path alternates between the illustrated direction and the reverse.
Direction. Since the transmission line structure 32 is a coaxial cable type structure,
Since the internal impedance of the cathode 32C is high (compared to the outside thereof), R
The F current flows through the outer surface of the cathode 32C and the inner surface of the outer conductor 320 in the form of a coaxial transmission line.
It is. The skin effect causes the RF current to concentrate near the surface of the transmission line, effectively reducing the current path.
The cross-sectional area decreases. For example, a large wafer with a diameter of 4-8 inches
With the corresponding large diameter cathode 32C and large diameter outer conductor 320, the effective cross section
And a low impedance current flows through this transmission line structure. The coaxial transmission line structure 32 has its characteristic impedance Z 0 With a net resistance equal to
When terminated, the matching network has a fixed impedance regardless of the length of the transmission line.
Z 0 Having. But in practice this is not the case. It's a plasma
It operates over a range of pressures and powers and consists of various gases,
The load impedance Z that the plasma collectively provides at the end of the transmission line 32. 1 To
It is to change. Load Z 1 Is not matched to the non-ideal (ie, non-lossless) transmission line 32, so that the standing wave on the transmission line
Increases the resistance loss, dielectric loss, and other losses during the operation. Matching network 43
Removes the loss from the standing wave or matching network input to the amplifier or power supply 42.
Matching networks, transmission lines 32, and channels.
The plasma in the member causes the resistance loss between the transmission line 32 and the matching network 43,
A resonance system that increases loss and other losses is configured. That is, the load impedance
Z 1 Does not match the loss, but Z 1 = Z 0 The minimum loss is minimized. In order to eliminate losses due to load mismatch, the coaxial transmission line structure 32 is
Characteristic impedance Z most suitable for the range of load impedance associated with 0 To
Designed to have. Typically, the above operating parameters (eg, bias frequency range
For materials considered to be about 0.3-3 MHz) from the plasma to the transmission line.
Given series equivalent RC load impedance Z 1 Is about 10 ohms to 100 ohms
Resistance in the range of about 50 picofarads to about 400 picofarads.
It consists of sitance. Therefore, the transmission line characteristic impedance Z 0 As the optimal value of
Is selected in the middle of the load impedance range, about 30 ohms to 50 ohms.
Selected. To avoid the deformation of the plasma impedance seen by the matching network, the transmission line
32 must be very short. Preferably, the transmission line is a quarter wavelength (λ
/ 4). More preferably, it is about (0.05-0.1) λ. In order to perform power coupling more efficiently, the inner diameter (cross-sectional dimension) of the return conductor 320 is required.
Method) must be significantly larger than the outer diameter (cross-sectional dimension) of the central conductor 32C.
Absent. That is, this chamber transfers the power from the matching network 31 to the plasma 33.
Built-in coupling transmission line structure. This transmission line structure has (1) plasma impedance
In order to prevent the deformation of the dance,
Preferably, it is always short or approximately equal to a half wavelength, and (2) is selected to suppress losses due to the presence of standing waves on the line between the plasma and the matching network.
Characteristic impedance Z 0 (3) The cross-sectional dimension is larger than the cross-sectional dimension of the central conductor.
Use not too large outer conductor tracks. 11. Chamber temperature control Temperature control functions that can be incorporated into the reactor chamber system 10 include
The internal or external temperature of the intake manifold above or below a certain value, or
Use of a fluid heat transfer medium to maintain the pressure within a certain range;
Heat, fluid heat transfer heating or cooling of cathode 32C, wafer 15 and cathode 32C
Use of a gas heat transfer medium during the heating of the chamber wall 12-14 or the dome 17
Alternatively, use of a fluid heat transfer medium for cooling, and
C include mechanical or electrostatic means for restraining, but not limited to
Not. Such features are hereby incorporated by reference herein and incorporated by reference.
U.S. Pat. No. 4,872,947 and commonly assigned U.S. Pat.
It is disclosed in Patent No. 4,842,683. For example, using a recirculating closed-loop heat exchanger 90 to remove a fluid, preferably a dielectric fluid,
Blocking and receiving of wafer support / cathode 32C as shown schematically in flow path 91
The wafer support can be cooled (or heated) by flowing over the stage. Silicon oxide
For elementary etching, a dielectric fluid temperature of, for example, -40C is used. Mentioned above
Thus, the transfer of heat between the wafer 5 and the wafer support 32 occurs at the interface between the wafer and the support.
Enhanced by an inert gas heat transfer medium such as helium. The chamber wall and the dome are convected by air (blown air) or heat generated by dielectric fluid.
It can be heated or cooled by an exchanger. For example, a closed circuit heat exchanger
Reference numeral 92 designates, for example, +120 from the heating to the cooling on the side wall of the chamber along the passage 93.
Recirculate the dielectric fluid at a controlled temperature ranging from -150C to -150C. As well
Meanwhile, the dome side wall 17W and the top wall 17T recirculate fluid along the passages 95 and 97.
Heating or cooling by the heat exchangers 94 and 96. In an alternative dielectric thermal control system, the antenna coil 30 has a dome dual
It is arranged between the walls 17W, immersed in a recirculating dielectric fluid. In another alternative dome dielectric fluid thermal control method, the coil of antenna 30 has a high temperature plus
Tick or Teflon TM Heat-conductive thermal grease
Applied between the antenna and the dome, and a hollow coil applies dielectric fluid to this coil.
It is heated or cooled by flowing. RF energy is also added to the coil
And the proximity to the plasma, the dielectric oil is effective at acceptable flow rates.
In addition to high specific heat and density for efficient heat transfer, good dielectric and insulating properties
It must have a higher boiling point. DuPont is a suitable dielectric fluid
There is Siltherm to sell. 12. Three electrode configuration Referring to FIG. 1, in the presently preferred embodiment, this chamber is a new
It incorporates a unique three-electrode configuration that allows for process control and improvement. This configuration is
Sword (preferably wafer support electrode 32), anode (preferably chamber sidewalls and
And bottom wall) and a top electrode, the top electrode being a dome top plate 17T.
Yes (or inclusive). The top electrode is floating and grounded, as shown in FIG.
, Or connected to the RF power supply 40. The top electrode is available in various configurations
And can be composed of various materials. That is, the conductive material (good)
Suitably aluminum), anodized aluminum or other dielectric coated
Material, aluminum or silicon-containing material such as aluminum-silicon alloy,
Alternatively, it includes a sacrificial silicon member 17S such as a silicon wafer,
Is not limited. 1) Grounded third electrode The grounded top plate 17T is (as opposed to the conventional reference provided by wall 12).
The ground reference plane for the bias voltage, and as a result,
To enhance the extraction of ions into the processing region 16B, and therefore the processing speed (etching speed etc.)
). In addition, the grounded top plate (produced by the plasma source
) Improve the coupling between the plasma and the wafer. 2) Biased third electrode RF biased third electrode (including silicon containing member or silicon containing
Combination with supply of free silicon to source plasma (using electrode covered by member)
If used, various processing characteristics including the etching rate and the selectivity are improved.
With the help of the strong dissociation properties of the source plasma, silicon enters the gas phase and becomes free fluorine.
Combine / remove. (From the dissociation characteristics of the source plasma,
For example, when used for oxide etching, a high concentration is obtained. This causes oxidation
Increases the etch rate of objects but etches related wafer materials such as polysilicon
The speed is also increased, thus reducing the selectivity of the oxide over poly. ) Free silicon
Removal of fluorine on the chamber, including deposition on the chamber and oxide sidewalls
The use of so-called “lighter” polymer chemistries with less tendency for mer deposition
Will be possible. As a result, the oxide etching rate increases, and the oxide
Increased selectivity, enhanced oxide etch anisotropy and vertical profile,
Chrome loading is recommended. In addition, free silicon affects the polymerization reaction,
This causes a more stable passivation polymer deposition on the oxide on the oxide,
Improved suppression of silicon etch rate and increased oxide selectivity over silicon
To Further, the sacrificial silicon-containing third electrode is made of CO or CO Two Additives such as carbon and
Operates synergistically with the use of oxygen-containing gases to form polymers on polysilicon surfaces
. As a result, the suppression of silicon etching is increased, and the oxide
High selectivity, increased polymer sidewall deposition on oxide, and therefore etch
And the vertical sidewall etch profile of the oxide is improved. Here, "phase
The term "multiplicative" is used to refer to gaseous chemistry containing carbon and oxygen.
Processing improvements beyond those obtained from the combination of working and the use of sacrificial silicon-containing electrodes are simply
Is much more than the individual benefits of these two features.
. In addition, these features are Three Used for gas chemistry including main etchants
Also increase the oxide etch rate and increase the polysilicon etch compared to other fluorine chemistry.
There is a synergistic effect in that the switching speed is reduced. Example: Polysilicon etching on silicon oxide Etching polysilicon over silicon oxide on silicon wafers
50cc of chlorine (Cl) in a three electrode chamber with a pressure in the range of about 2mt to about 20mt Two )
Etchant gas flow rate (manifold G1 only), 1500 watts of power, 2
Run with a 0 volt bias voltage and a grounded top electrode (no silicon)
Was. As a result, a polysilicon etch of 3500-4000 angstroms / min.
Ching rate, vertical etch profile, and> 100 for oxide:
One polysilicon selectivity was obtained. Example: Silicon oxide deposition Two-step bias sputter deposition of silicon dioxide on silicon wafers
Pressures in the range of about 2 mt to about 10 mt in the inventive three-electrode chamber (both steps)
), About 200 cc of argon / about 90 cc of oxygen / about 45 cc of silane (both steps)
, Power supply of 2000 watts (both steps)
, The grounded top electrode (in both steps), a bias voltage of about -20 volts (the
1 step) and about 100-200 volts (second step)
. As a result, in the first step (without sputtering)> 7500 Å
Torr / min deposition and about 4000-5000 Angstroms in the second step
Pure oxide deposition (profile controlled sputtering deposition) at troms / min.
Was. Example: Silicon oxide etching on polysilicon using polymer forming chemistry. Silicon oxide on polysilicon, from about 2 mt in the three-electrode chamber of the present invention
About 30mt pressure, CHF Three , 30-60 sccm / CO or CO Two , 6-18sccm
/ Ar, 100-200 sccm (manifold G1 only) gas chemistry flow rate, 20
00 watts power supply, 200 volt bias voltage, top electrode 17T and there
2 MHz, biased with 1000 watts of RF energy
This was performed using an iodine disk 17S. 8000 angstroms of silicon oxide /
Etching at a rate of minutes, the oxide selectivity over poly was 50: 1.
The silicon-containing body is reinforced by silica coating on the quartz dome wall 17W
can do. 13. Description of etching application 1) A significant challenge in semiconductor manufacturing is that when the underlying layer is polysilicon,
Etching of silicon dioxide of reduced thickness. Silicon oxide is relatively fast
The exposed polysilicon that has been etched in
Requires high selectivity. Unfortunately, polysilicon is usually silicon oxide
Etch faster. The conventional solution to this problem is to use CF in plasma gas.
Four CHF combined with Three Carbon, hydrogen and fluorine, such as methane and hydrogen or methane
Was introduced. This results in a thin passivation on the polysilicon
A layer is formed and etching can continue at a relatively high rate against silicon oxide.
it can. Unfortunately, high-density plasma is
The thick polymer layer that forms on the wafer, which can decompose into atoms,
This makes it more difficult to etch the dimensions. An important concept in this regard is “My
1- (etching rate ratio) where the etching rate ratio is roughly equal to the etching rate in the details of the wafer.
Is the ratio of the etching rates at. Therefore, an etching process may
All of the rough parts have the desired properties that they are etched at the same rate
If so, the microloading is 1-1 / 1 = 0. Detail etching speed
For much slower processing, the value of microloading is close to 1.0.
You. The difficulty of the etching application described here is that
In order to obtain selectivity, a relatively large amount of polymer forming gas must be used in the plasma.
No, but the microloading of the polymer layer becomes much larger than zero
That is. Typically, selectivity of 10: 1 or more for 0.1 microloading
You can't expect to get a ratio. However, microloading is virtually zero and 3
Many applications require a high selectivity ratio such as 0: 1 or 40: 1.
No. 2) Use of silicon in the plasma source region. In the case of a high-density plasma source, the source of dissociation products that etch polysilicon naturally
One is fluorine. As described above, free from the plasma source region using silicon
Fluorine groups can be removed. Silicon is applied on the third electrode 17T or
It can take the form of a coating on the inner wall 17W of the bar. The sacrificial silicon is on the wall
The thickness of the silicon is such that RF energy is supplied to the plasma from antenna 30.
It becomes a problem with the supplied frequency. These parameters have enough energy
It must be chosen to be electromagnetically coupled through the chamber walls. 17T
When silicon is included in the third electrode as shown, the thickness of the silicon is not critical.
No. In any case, silicon can be used for free fluorine collection from the plasma source area
, Silicon fluoride (SiF Four ) Is formed. This is a volatile gas
And can easily flow out of the chamber. Fluorine is removed in this way
When removed, lower concentrations of polymer forming gas are required and large amounts of polymer
The tendency to deposit on the wafer is reduced. Selectivity is enhanced by two mechanisms
Seems to do. First, polysilicon is removed by removing fluorine.
The etching rate is reduced, and then the presence of silicon in the plasma source region is reduced by the shape of the polymer layer.
It seems to affect the mode of construction. The reason is not yet clear, but polymer
Layers are formed more rapidly on polysilicon than silicon oxide,
Improve the logging process. The silicon material itself is coated with the polymer in this process, and finally it is
May lose its effect. This reduces polymer formation when the silicon is heated
When the silicon is electrically biased, the impact on the silicon surface is sufficiently increased.
The polymer can be sputtered from the surface, exposing the silicon again. did
Thus, in one embodiment of the present invention, silicon is used for the biased electrode.
Instead, include silicon on the surface of the unbiased electrode or on the chamber wall.
You can do it. 3) Use of oxygen-containing additive gas As mentioned in section 12, CO or CO Two The use of such a gas in the plasma source region further improves the etching performance. In other words, the plasma polysilicon
The ability to etch is suppressed and the etching of silicon oxide on polysilicon
Selectivity is improved. In addition, sidewall deposition of the polymer on the oxide is reduced,
As a result, the anisotropy of the resultant etching and the vertical sidewall etching profile of the oxide are improved.
The preferred method is to add oxygen-containing additive gas to other related enhancements, namely biased
For use with the silicon included in the top electrode, the oxygen-containing additive gas
Use a biased top electrode or remove fluorine from the plasma source area.
It is effective without using iodine at the same time. 14. Other features 1) Plasma control A feature of the invention is that the "lower" power is automatically varied to maintain a constant cathode (wafer).
) Maintaining the sheath voltage. Low pressure in highly asymmetric systems
(<500mt), the DC bias measured at the cathode is
It is an approximate value. The lower power must be changed automatically to maintain a constant DC bias.
Can be. Lower power has little effect on plasma density or ion flow density.
Top or antenna power has a very strong effect on plasma density and ion current density.
However, the effect on the cathode sheath voltage is very small. Therefore,
The power is used to determine the plasma density and ion flow density, and the lower power is used as the cathode sheath.
It is desirable to use it to determine the voltage. 2) Differential bias Instead of biasing the wafer 5 against ground, the dotted lines in FIGS.
The bias matching network 43 and the top plate 17T are
Can be removed from the source and each other can be a reference. Referring to FIG.
The plate is the voltage V between the top plate and the wafer T-SS Is between the top plate and wall 12
Voltage V TW The voltage V between the wafer and the wall at about twice the magnitude of SS-W About twice the size of
And are differentially driven and balanced. This balanced differential drive
The plasma-wall interaction is reduced by reducing the interaction between the plasma and the wall. 3) Alternative configuration The plasma reactor system of the present invention is shown in FIG. 1 in a conventional orientation (vertical). Base
The plate 5 is on the electrode 32 (cathode) and the antenna 30 is on the dome 17 on the electrode.
Surrounds. For convenience, the power supplied to the antenna 30 is referred to as “antenna”.
Or “plasma source” or “upper” power, which is supplied to the electrode / cathode 32.
Power has been termed "bias" or "bottom" power. These expressions and
The names and names are only for convenience and the described system is reversed, ie, the electrodes 32
Can be configured with the antenna placed below this electrode, or changes can be made
It can be placed in other ways (eg, placed horizontally) without adding.
That is, the reactor system works regardless of orientation. In an inverted configuration,
Razma is generated by antenna 30 and transported upwards in the manner described herein.
It heads toward the substrate 5 located above the antenna. In other words, transport of active species is
Caused by flow. Or in some cases a magnetic field with an axial gradient
Occurs. This process is independent of gravity and therefore relatively unshaded in direction.
Not affected. The reversed direction is, for example, the plasma generation region in the gas phase,
Beneficial in that the potential for particles formed on the surface to fall to the substrate is minimized
It is. After that, only the smallest of such particles due to gravity is the gravity potential
Rises toward the substrate surface against the gradient. From the foregoing detailed description, the principles of the invention may be applied to alternatives to those exemplified herein.
It will be understood that the same applies to alternative configurations. Everything related to this invention
A common feature of this configuration is that the plasma is powered by RF energy from outside the chamber.
Formed and maintained in the chamber by magnetic coupling. Object of the invention
Above, the term electromagnetic coupling refers to a plasma in which RF energy is formed in a chamber.
Means that the energy is combined with the plasma
Or capacitive coupling transferred via a sheath layer between the further electrodes.
In the embodiment of the invention disclosed herein, RF energy is induced by plasma
Electromagnetic coupling to the plasma volume of the RF energy.
It will be appreciated that there are other energy transfer mechanisms. For example,
Microwave ECR (Electron Cyclotron Resonance) systems also electromagnetically couple energy to the volume of the plasma. 4) High and low pressure operation and variable spacing The chamber design of the present invention is valid for both high and low pressure operation. Ue
C The distance between the supporting cathode 32C and the surface of the lowermost coil or winding of the antenna
d can be adapted for both high and low pressure operation. For example, 500
A spacing d of less than about 5 cm is preferably used for high pressure operation of little-50 mTorr.
5 cm for low pressure operation in the range less than 0.1 mTorr-500 mTorr
A large spacing d is preferred. The chamber has a fixed distance d as shown in the figure.
Can be used and can be replaced or nested like upper chamber
A variable spacing design can also be used. Reactor system 10 can be silicon oxide or nitridation.
High and low pressure deposition of materials such as silicon, silicon dioxide, silicon nitride, silicon
Pressure anisotropic reactive ion etching of materials such as silicon, polysilicon and aluminum
Including high pressure plasma etching of such materials and planarization of wafer topography.
CVD faceting, including simultaneous deposition and etchback of such materials, etc.
It is effective for processing. These processes that can use the reactor system 10
And other processing, please refer to VHF / UHF PLASMA PROCESS FOR
USE IN FORMING INTEGRATED CIRCUIT STRUCTURES ON SEMICONDUCTOR WAFARS
Collins et al., US patent application Ser. No. 07 / 560,530, filed Jul. 31, 1990.
No. (AMAT file No. 151-2). 15. Example of device The embodiment of the system of the present invention includes the plasma source configuration and the antenna configuration shown in FIG.
include. The diameter of the 5-inch high quartz plasma source chamber 17 is 12 inches.
It is an inch. 2MHz, 13 inches in diameter, 4 inches in height, 13 turns coil antenna
At both ends (with variable capacitors L and T grounded) from the ground plane (below)
Terminated at approximately 0.25 inch intervals and surrounding the plasma source. Reaction load
Matching is a variable capacitor L (10-3000 picofarad variable capacitor, rating 5
kV). Capacitive tuning to antenna resonance is also
Sensor T (5-100 picofarads, 15 kV rating). 2 keys
When operated with a source RF energy of 2 MHz lower, 2 inches downstream (
An extending plasma is provided to the wafer (under the plasma source). This is 1-2X1
012 / cm Three Plasma density and 10-15 mA / cm downstream of the wafer Two Ion saturation current
Provides flow density. 5 placed on the support electrode about 2 inches below (downstream) of the antenna
2 MHz, 600 watts bottom or bias applied to an inch wafer is 2
Provides a cathode sheath voltage of 00 volts. As described above, the above-described reactor embodying the present invention employs reactive ion etching.
, Low pressure including high pressure plasma etching, sputter facet deposition and planarization
Various plasmas such as chemical vapor deposition (CVD) and high-pressure conformal isotropic CVD
Provides a unique effect on machining. Applications include sputter etching,
On-beam etching or ion or active neutral plasma as electron
Sources include, but are not limited to. It is obvious to one skilled in the art that the invention is not limited to the use of a dome.
Would. Rather, the present invention relates to almost any plasma source region and processing region.
It is applicable to the configuration. This includes, for example, a "step-like"
Dome type chamber configuration, plasma source area and processing area or chamber part
Has a non-stepped configuration having substantially the same cross section.

【図面の簡単な説明】 【図1】 この発明のプラズマ反応装置チャンバーの概略断面図である。 【図2】 この発明のプラズマ反応装置チャンバーの概略断面図である。 【図3】 この発明のプラズマ反応装置チャンバーの概略断面図である。 【図4】 発電器のインピーダンスをプラズマ負荷のインピーダンスに整合させる同調回
路の概略図である。 【図5】 発電器のインピーダンスをプラズマ負荷のインピーダンスに整合させる同調回
路の概略図である。 【図6】 発電器のインピーダンスをプラズマ負荷のインピーダンスに整合させる同調回
路の概略図である。 【図7】 発電器のインピーダンスをプラズマ負荷のインピーダンスに整合させる同調回
路の概略図である。 【図8】 発電器のインピーダンスをプラズマ負荷のインピーダンスに整合させる同調回
路の概略図である。 【図9】 発電器のインピーダンスをプラズマ負荷のインピーダンスに整合させる同調回
路の概略図である。 【図10】 けい素と二酸化けい素に対するエッチング速度がプラズマエッチング処理中の
増大する直流バイアス電圧の増大につれて変化する態様を示すグラフである。 【図11】 この発明の一側面による直流バイアス電圧の波形を示すグラフであり、バイア
ス電圧は高基線値からはるかに低い値に周期的にパルス化される。 【図12】 この発明の他の側面による直流バイアス電圧の波形を示すグラフであり、バイ
アス電圧は第1の周波数での平均値付近で変動する。バイアス電圧のエクスカー
ションの振幅は第1の周波数より低い第2の周波数にしたがって変更される。す
る直流バイアス電圧の増大につれて変化する態様を示すグラフである。 【図13】 プラズマ密度と均一性を向上させるための磁石の構造を示すプラズマ加工チャ
ンバーの概略図である。 【図14】 (A)−(D)はそれぞれ軸方向磁界を加工を向上させるために加工中のウエ
ハに対してどのような形状にするかを示す図である。 【図15】 (A)−(B)はそれぞれチャンバー中のプラズマに結合する定常状態静電界
を低減するための二つの交番するファラデーシールド構造を示す部分図である。 【図16】 この発明のプラズマ反応装置のさまざまな構成要素を制御するための例示のシ
ステムのブロック図である。
BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 is a schematic sectional view of a plasma reactor chamber of the present invention. FIG. 2 is a schematic sectional view of a plasma reactor chamber of the present invention. FIG. 3 is a schematic sectional view of a plasma reactor chamber of the present invention. FIG. 4 is a schematic diagram of a tuning circuit that matches the impedance of a generator to the impedance of a plasma load. FIG. 5 is a schematic diagram of a tuning circuit that matches the impedance of a generator to the impedance of a plasma load. FIG. 6 is a schematic diagram of a tuning circuit that matches the impedance of a generator to the impedance of a plasma load. FIG. 7 is a schematic diagram of a tuning circuit that matches the impedance of a generator to the impedance of a plasma load. FIG. 8 is a schematic diagram of a tuning circuit that matches the impedance of a generator to the impedance of a plasma load. FIG. 9 is a schematic diagram of a tuning circuit that matches the impedance of a generator to the impedance of a plasma load. FIG. 10 is a graph showing how the etch rate for silicon and silicon dioxide changes with increasing DC bias voltage during the plasma etching process. FIG. 11 is a graph illustrating a waveform of a DC bias voltage according to one aspect of the present invention, wherein the bias voltage is periodically pulsed from a high baseline value to a much lower value. FIG. 12 is a graph showing a waveform of a DC bias voltage according to another aspect of the present invention, wherein the bias voltage fluctuates near an average value at a first frequency. The amplitude of the bias voltage excursion is changed according to a second frequency lower than the first frequency. 6 is a graph showing a mode that changes as the DC bias voltage increases. FIG. 13 is a schematic view of a plasma processing chamber showing a structure of a magnet for improving plasma density and uniformity. FIGS. 14A to 14D are diagrams showing the shapes of the axial magnetic field with respect to the wafer being processed in order to improve the processing. FIGS. 15A and 15B are partial views showing two alternating Faraday shield structures for reducing the steady-state electrostatic field coupled to the plasma in the chamber, respectively. FIG. 16 is a block diagram of an exemplary system for controlling various components of the plasma reactor of the present invention.

Claims (1)

【特許請求の範囲】 【請求項1】 a)その内部にプラズマを発生する真空チャンバ、 b)前記チャンバ内で処理されるワークピースを支持するためのワークピース支
持部材、 c)アノード電極、 d)前記チャンバにプラズマ先行プロセスガスを供給するためにチャンバ内に取
り付けられたガス導入口、 e)第1および第2のRF電力信号を供給するためのRF電源、 f)前記第1のRF電力信号からのRFエネルギを前記チャンバに結合して、前
記プロセスガスからの高密度のプラズマを形成するように前記チャンバ頂部の周
りに取り付けられるコイルアンテナ、 g)カソード電極に向かってプラズマからの荷電粒子を引き付ける、ワークピー
ス支持部材の下または一部にあるカソード電極、及び h)前記カソード電極の上方に配置され、前記プロセスガスの成分と化学的に作
用するシリコンを含む電極、 を有する、ワークピースを処理するRFプラズマ処理装置。 【請求項2】 前記シリコンを含む電極が、その反応性を高めるように制御可
能に加熱されることを特徴とする請求項1に記載のRFプラズマ処理装置。 【請求項3】 前記シリコンを含む電極が、プラズマと接触することを特徴と
する請求項1に記載のRFプラズマ処理装置。 【請求項4】 前記シリコンを含む電極が、プラズマを介して前記RF電源に
結合されることを特徴とする請求項1に記載のRFプラズマ処理装置。 【請求項5】前記コイルアンテナが、基板の表面に並列に配置されることを特
徴とする請求項1に記載のRFプラズマ処理装置。 【請求項6】 カソード電極に向かってプラズマからの荷電粒子を引き付ける
電界を生成するように、前記第2のRF電力信号が前記カソード電極に結合され
ることを特徴とする請求項1に記載のRFプラズマ処理装置。 【請求項7】 前記真空チャンバが頂部を有し、その頂部が、前記支持部材の
上方の領域を囲み、前記コイルアンテナが前記RFエネルギを前記チャンバに結
合する制御可能に加熱される誘導壁を有することを特徴とする請求項1に記載の
RFプラズマ処理装置。
Claims: 1. a) a vacuum chamber in which a plasma is generated, b) a workpiece support member for supporting a workpiece to be processed in said chamber, c) an anode electrode, d. A) a gas inlet mounted in the chamber to supply a plasma pre-process gas to the chamber; e) an RF power supply for supplying first and second RF power signals; f) the first RF power. A coil antenna mounted around the top of the chamber to couple RF energy from a signal into the chamber to form a dense plasma from the process gas; g) charged particles from the plasma towards the cathode electrode A cathode electrode under or in part on the workpiece support member, and h) disposed above the cathode electrode. , Having an electrode, comprising the silicon acting component and a chemical of the process gas, RF plasma processing apparatus for processing a workpiece. 2. The RF plasma processing apparatus according to claim 1, wherein the electrode containing silicon is controllably heated so as to increase its reactivity. 3. The RF plasma processing apparatus according to claim 1, wherein the electrode containing silicon is in contact with plasma. 4. The RF plasma processing apparatus according to claim 1, wherein the electrode including silicon is coupled to the RF power source via a plasma. 5. The RF plasma processing apparatus according to claim 1, wherein said coil antenna is arranged in parallel on a surface of a substrate. 6. The method of claim 1, wherein the second RF power signal is coupled to the cathode electrode to create an electric field that attracts charged particles from a plasma toward the cathode electrode. RF plasma processing equipment. 7. The vacuum chamber has a top, the top surrounding an area above the support member, and the coil antenna defining a controllably heated induction wall coupling the RF energy to the chamber. The RF plasma processing apparatus according to claim 1, further comprising:

Family

ID=

Similar Documents

Publication Publication Date Title
JP2635267B2 (en) RF plasma processing equipment
US5556501A (en) Silicon scavenger in an inductively coupled RF plasma reactor
US6068784A (en) Process used in an RF coupled plasma reactor
JP2625072B2 (en) Plasma reactor using electromagnetic RF coupling and method thereof
US5888414A (en) Plasma reactor and processes using RF inductive coupling and scavenger temperature control
EP0552491B1 (en) Plasma etch process and plasma processing reactor
US6545420B1 (en) Plasma reactor using inductive RF coupling, and processes
US6488807B1 (en) Magnetic confinement in a plasma reactor having an RF bias electrode
US6518195B1 (en) Plasma reactor using inductive RF coupling, and processes
US6251792B1 (en) Plasma etch processes
US6444137B1 (en) Method for processing substrates using gaseous silicon scavenger
US20020004309A1 (en) Processes used in an inductively coupled plasma reactor
JP2519364B2 (en) Plasma reactor using UHF / VHF resonant antenna source
US6679981B1 (en) Inductive plasma loop enhancing magnetron sputtering
US7513214B2 (en) Plasma processing method and apparatus
KR101011580B1 (en) Externally excited torroidal plasma source with magnetic control of ion distribution
US5824605A (en) Gas dispersion window for plasma apparatus and method of use thereof
KR100342014B1 (en) Plasma processing apparatus
US20040237897A1 (en) High-Frequency electrostatically shielded toroidal plasma and radical source
US7998307B2 (en) Electron beam enhanced surface wave plasma source
US6468388B1 (en) Reactor chamber for an externally excited torroidal plasma source with a gas distribution plate
US6453842B1 (en) Externally excited torroidal plasma source using a gas distribution plate
US6634313B2 (en) High-frequency electrostatically shielded toroidal plasma and radical source
JP2543642B2 (en) System and method for treating a workpiece having high frequency alternating current electrical energy and relatively low frequency alternating current electrical energy
KR100809889B1 (en) Plasma chamber with externally excited torroidal plasma source