JP2022544837A - Euvリソグラフィ用下層 - Google Patents

Euvリソグラフィ用下層 Download PDF

Info

Publication number
JP2022544837A
JP2022544837A JP2022511038A JP2022511038A JP2022544837A JP 2022544837 A JP2022544837 A JP 2022544837A JP 2022511038 A JP2022511038 A JP 2022511038A JP 2022511038 A JP2022511038 A JP 2022511038A JP 2022544837 A JP2022544837 A JP 2022544837A
Authority
JP
Japan
Prior art keywords
mol
layer
monomer
polysiloxane
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2022511038A
Other languages
English (en)
Inventor
イーチェン リアン,
アンドレア エム. チャコ,
ユバオ ワン,
ダグラス ジェイ. ゲレロ,
Original Assignee
ブルーワー サイエンス アイ エヌ シー.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ブルーワー サイエンス アイ エヌ シー. filed Critical ブルーワー サイエンス アイ エヌ シー.
Publication of JP2022544837A publication Critical patent/JP2022544837A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/14Polysiloxanes containing silicon bound to oxygen-containing groups
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/20Polysiloxanes containing silicon bound to unsaturated aliphatic groups
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/48Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule in which at least two but not all the silicon atoms are connected by linkages other than oxygen atoms
    • C08G77/54Nitrogen-containing linkages
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • C09D183/06Polysiloxanes containing silicon bound to oxygen-containing groups
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Polymers & Plastics (AREA)
  • Medicinal Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Structural Engineering (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Materials Engineering (AREA)
  • Wood Science & Technology (AREA)
  • Architecture (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Silicon Polymers (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

EUVシリコンハードマスク層として使用するための新しいリソグラフィ組成物を提供する。本発明は、マイクロ電子構造体を製造する方法、およびこれによりEUVリソグラフィプロセスを用いて形成される結果としての構造体を提供する。本方法は、フォトレジスト層直下のシリコンハードマスク層を利用することを含む。シリコンハードマスク層は、基板へ直に塗布されることもあれば、基板へ塗布され得る任意の中間層へ塗布されることもある。好ましいシリコンハードマスク層は、スピンコーティングが可能なポリマー組成物から形成される。本発明による方法は、接着性を高め、かつパターン倒壊問題を低減または排除する。

Description

関連出願
本出願は、参照によりその全体が開示に含まれる、2019年8月21日に出願された「EUVリソグラフィ用下層」と題する米国暫定特許出願第62/889,964号明細書の優先権利益を主張するものである。
本発明は、概して、EUV(極端紫外線)リソグラフィを用いてマイクロ電子構造体を製造する方法に関する。
半導体産業は、ムーアの法則を辿り続けるが故に、縮小し続けるフィーチャサイズに対する要求は、パターン倒壊を防止するために、より薄い膜の使用を必要とする。より薄い膜の場合、パターンを基板へ転写するためにハードマスクを用いることが必要となる。極端紫外線(「EUV」)露光は、単回露光リソグラフィが7-nmノードおよびこれを超える必要な限界寸法(「CD」)ターゲットを達成するための選択方法であることが期待されている。残念ながら、EUVリソグラフィは、低スループット、確率的影響および接着の問題を含む幾つかの課題によって妨げられてきた。
炭素含有層、ケイ素含有層およびフォトレジストを含む従来の3層スタックは、フォトレジストとシリコン下層との間の不十分な接着、または接着の改善が意図される変性に起因するシリコンハードマスク(「Si-HM」)層の低いエッチング速度に悩まされる傾向がある。フォトレジストへのより良好な接着ならびに高いエッチング速度を提供するスピン-オン-シリコンハードマスクは、リソグラフィ結果およびプロセス回数を改善するための製造に優しいソリューションを提供する。
本発明は、広義には、シリコンハードマスク組成物、および、EUVプロセスにおいてこれらの組成物を使用する方法に関する。
ある実施形態において、本発明は、構造体を形成する方法であって、前記方法は、
場合により1つまたは複数の中間層を含む、基板を提供すること、
前記基板、または存在するのであれば前記1つまたは複数の中間層上に、組成物を塗布してシリコンハードマスク層を形成すること、
場合により、前記シリコンハードマスク層上にヘキサメチルジシリザン・プライミング層を形成すること、
前記ヘキサメチルジシリザン・プライミング層が存在するのであればその上に、または、ヘキサメチルジシリザン・プライミング層が存在しなければ前記シリコンハードマスク層上にフォトレジスト層を形成すること、および
前記フォトレジスト層の少なくとも一部分をEUV放射線に曝すこと、を含み、
前記組成物は、ポリシロキサンを含み、
前記ポリシロキサンは、
下記の構造のうちの一方または両方から選択される構造を有する接着促進モノマーと、
Figure 2022544837000002

(各Rは、C~約Cアルキルおよび水素から個々に選択され、
nは、1~約6であり、かつ、
各Xは、グリシドキシ、エポキシ、エポキシシクロアルキル、無水コハク酸、アセトアミドおよびイソシアヌレート部分から個々に選択される)、
下記の構造のうちの一方または両方から選択される構造を有する表面改質モノマー、
Figure 2022544837000003

(各Rは、C~約Cアルキルから、およびC~約C20アリールから個々に選択され、
各Rは、C~約Cアルキルおよび水素から個々に選択され、
各Rは、C~約Cアルキルおよび水素から個々に選択され、
mは、1~約6であり、かつ、
各Yは、アセトキシ、エステルおよびアリール部分から個々に選択される)、および、
下記の構造のうちの1つ、2つまたは3つから選択される構造を有する緻密化モノマー、
Figure 2022544837000004

(各Rは、C~約Cアルキルおよび水素から個々に選択される)、
のうちの一方または両方と、を含む方法を提供する。
シリコンハードマスク層上には、場合により、ヘキサメチルジシリザン・プライミング層が形成される。フォトレジスト層は、ヘキサメチルジシリザン・プライミング層が存在すればその上に、または、ヘキサメチルジシリザン・プライミング層が存在しなければシリコンハードマスク層上に形成され、フォトレジスト層の少なくとも一部分は、EUV放射線に曝される。
別の実施形態において、本方法は、基板を提供することを含み、該基板は、場合によりその上に1つまたは複数の中間層を含む。シリコンハードマスク層を形成するために、基板には、または存在すればその1つまたは複数の中間層上に、ある組成物が塗布される。該組成物は、ポリシロキサンを含み、ポリシロキサンは、
エポキシ官能性トリアルコキシシラン、無水物官能性トリアルコキシシラン、アセトアミド官能性トリアルコキシシラン、トリアルコキシシリルアルキルイソシアヌレート、およびこれらの混合物を含む接着促進モノマーと、
下記の一方または両方、すなわち、
テトラアルコキシシラン、および、
ジアルコキシシラン、トリアルコキシシラン、およびこれらの組合せから選択される表面改質モノマー、
のうちの一方または両方と、を含む。
シリコンハードマスク層上には、場合により、ヘキサメチルジシリザン・プライミング層が形成される。フォトレジスト層は、ヘキサメチルジシリザン・プライミング層が存在すればその上に、または、ヘキサメチルジシリザン・プライミング層が存在しなければシリコンハードマスク層上に形成される。フォトレジスト層の少なくとも一部分は、EUV放射線に曝される。
シリコンハードマスク1(実施例1)のFTIRスペクトルである。 シリコンハードマスク5(実施例5)で試験した架橋温度を示すグラフである。 実施例9で試験したサンプルのEUVリソグラフィフォトレジストのフォーカス露光マトリクス(「FEM」)測定値を示す表である。
より詳細には、本発明は、シリコンハードマスク組成物を提供し、かつEUV(すなわち、約20nm未満、概して約13.5nm、の波長)リソグラフィプロセスを利用してマイクロ電子構造体を形成するためにこれらの組成物を用いる方法を提供する。
シリコンハードマスク組成物
1.組成物に用いるポリマー
好ましいポリマーは、1つまたは複数のタイプの接着促進モノマーと、(1)1つまたは複数のタイプの表面改質モノマー、および/または(2)1つまたは複数のタイプの緻密化モノマー、のうちの一方または両方と、を含むポリシロキサンである。
好ましい接着促進モノマーは、
Figure 2022544837000005

のうちの一方または両方から選択される構造を有する反復単位を含むポリマーを生成する。
ここで、
各Rは、C~約Cアルキル(好ましくは、C~約Cアルキル)および水素から個々に選択され、
nは、1~約6、より好ましくは1~約3、であり、かつ、
各Xは、グリシドキシ、エポキシ、エポキシシクロアルキル(好ましくは、C~約C10のシクロアルキル、かつ好ましくは、C~約Cのシクロアルキル)、無水コハク酸、アセトアミドおよびイソシアヌレート部分から個々に選択される。
好ましい表面改質モノマーは、
Figure 2022544837000006

のうちの一方または両方から選択される構造を有する反復単位を含むポリマーを生成する。
ここで、
各Rは、C~約Cアルキル(好ましくは、C~約Cアルキル)およびC~約C20アリール(好ましくは、C~約C14アリール)から個々に選択され、
各Rは、C~約Cアルキル(好ましくは、C~約Cアルキル)および水素から個々に選択され、
各Rは、C~約Cアルキル(好ましくは、C~約Cアルキル)および水素から個々に選択され、
mは、1~約6、より好ましくは1~約3、であり、かつ、
各Yは、アセトキシ、エステルおよびアリール部分から個々に選択される。Yの好ましいアリール部分は、C~約C20、より好ましくはC~約C14、最も好ましくはCである。
好ましい緻密化モノマーは、
Figure 2022544837000007

のうちの1つ、2つまたは3つから選択される構造を有する反復単位を含むポリマーを生成する。
ここで、各Rは、C~約Cアルキル(好ましくは、C~約Cアルキル)および水素から個々に選択される。
モノマーの比率および含浸率が、最終組成物の適正な特性を提供するように調整され得ることは、認識されるであろう。特性は、様々なレジストタイプ、フィーチャサイズおよびフィーチャタイプ(ライン/スペース、コンタクトホール、他)に対応するように調整されてもよい。
ある実施形態において、ポリシロキサン中の接着促進モノマーまたは反復単位のモル百分率は、好ましくは約2%~約50%、より好ましくは約5%~約35%、さらにより好ましくは約10%~約20%である。表面改質モノマーのモル百分率は、好ましくは約5%~約90%、より好ましくは約10%~約80%、さらにより好ましくは約40%~約70%である。緻密化モノマーのモル百分率は、好ましくは約0%~約80%、より好ましくは約10%~約70%、さらにより好ましくは約15%~約50%である。
別の実施形態において、ポリシロキサン中の接着促進モノマーまたは反復単位のモル百分率は、好ましくは約2%~約50%、より好ましくは約5%~約35%、かつより好ましくは約10%~約20%である。表面改質モノマーのモル百分率は、好ましくは約0%~約70%、より好ましくは約10%~約70%、さらにより好ましくは約15%~約70%である。緻密化モノマーのモル百分率は、好ましくは約2%~約90%、より好ましくは約10%~約80%、さらにより好ましくは約15%~約70%である。
さらに別の実施形態において、ポリシロキサン中の接着促進モノマーまたは反復単位のモル百分率は、約0%~約30%、好ましくは約0.01%~約30%、より好ましくは約0.01%~約20%、さらにより好ましくは約0.1%~約15%である。表面改質モノマーのモル百分率は、好ましくは約0%~約70%、より好ましくは約5%~約60%、さらにより好ましくは約15%~約50%である。緻密化モノマーのモル百分率は、好ましくは約30%~約95%、より好ましくは約40%~約80%、さらにより好ましくは約50%~約70%である。
ある実施形態において、ポリシロキサンは、1つまたは複数のタイプの接着促進モノマーと、1つまたは複数のタイプの表面改質モノマー、および/または1つまたは複数のタイプの緻密化モノマー、のうちの一方または両方とから略成り、またはまさにこれらから成る。別の実施形態において、ポリシロキサンは、1つまたは複数のタイプの接着促進モノマーと、1つまたは複数のタイプの表面改質モノマーと、1つまたは複数のタイプの緻密化モノマーとから略成り、またはまさにこれらから成る。
2.重合の材料および方法
シリコンハードマスク組成物に使用するためのポリマーは、好ましくは加水分解性シランモノマーから合成され、特に好ましい合成方法は、ゾル-ゲル法である。加水分解性シランモノマーの例としては、テトラエトキシシラン(「TEOS」)、オルトケイ酸テトラメチル(「TMOS」)、メチルトリメトキシシラン(「MTMS」)、メチルトリエトキシシラン(「MTEOS」)、ジメチルジメトキシシラン(「DMDMS」)、ジメチルジエトキシシラン(「DMDEOS」)、フェニルトリメトキシシラン(「PTMS」)、フェネチルトリメトキシシラン(「PETMS」)、2-(カルボメトキシ)エチルトリメトキシシラン(「CMETMS」)、アセトキシエチル-トリメトキシシラン、エチルトリメトキシシラン(「ETMS」)、n-ブチルトリメトキシシラン(「BuTMS」)、(3-グリシドキシプロピル)トリメトキシシラン(「GlyTMS」)、5,6-エポキシヘキシルトリエトキシシラン(「EPOTEOS」)、2-(3,4-エポキシシクロヘキシル)エチルトリメトキシシラン(「ECHTMS」)、[3-(トリエトキシシリル)プロピル]コハク酸無水物(「SucTEOS」)、(3-アセトアミドプロピル)トリメトキシシラン(「AmiTMS」)、(1,3-ジ-2-プロペン-1-イル)-5-(([3-トリエトキシシリルプロピル])-(1,3,5-トリアジン-2,4,6(1H,3H,5H)-トリオン)(「DAICA-TEOS」)、およびこれらの組合せを含むグループから選択されるものが含まれる。
先に述べたように、これらの組成物に使用されるポリマーは、少なくとも1つまたは複数のタイプの接着促進モノマーを、好ましくは、(1)1つまたは複数のタイプの表面改質モノマー、および(2)1つまたは複数のタイプの緻密化モノマー、のうちの一方または両方とのコポリマ-として含む。
ポリシロキサンの前述の構造(I)および(II)を形成すると思われる、接着促進モノマーとして使用するための好ましい出発化合物には、エポキシ類、無水物類、アセトアミド類および/またはイソシアヌレート類などの高接着性官能基を含むトリアルコキシシラン(好ましくは、C~約Cアルコキシ、より好ましくはC~約Cアルコキシ)が含まれる。上述のものの特に好ましい例は、(3-グリシドキシプロピル)トリメトキシシラン、5,6-エポキシヘキシル-トリエトキシシラン、2-(3,4-エポキシシクロヘキシル)エチルトリメトキシシラン、[3-(トリエトキシシリル)プロピル]コハク酸無水物、(3-アセトアミドプロピル)トリメトキシシラン、(1,3-ジ-2-プロペン-1-イル)-5-(([3-トリエトキシシリルプロピル])-(1,3,5-トリアジン-2,4,6(1H,3H,5H)-トリオン)およびこれらの混合物から選択される。
表面改質モノマーが含まれる実施形態において、該モノマーは、組成物から形成されるハードマスク層の表面エネルギーを調整するように機能し、よって、所定の実施形態では、ハードマスク層とEUVレジストとの間の適合性を提供する。ポリシロキサンの構造(III)および(IV)をもたらすと思われる表面改質モノマーとして用いるための好ましいこうした出発化合物には、ジアルコキシシラン、トリアルコキシシランおよびこれらの組合せが含まれる。いずれの場合も、アルコキシは、好ましくはC~約Cアルコキシ、より好ましくはC~約Cアルコキシである。さらには、選択される表面改質モノマーのSi原子は、2つのアルキル、アリールおよび/またはアルキルアリール部分と結合されるか(ジアルコキシシランの場合)、1つのアルキル、アリールまたはアルキルアリール部分と結合される(トリアルコキシシランの場合)ことが好ましい。いずれの場合も、好ましいアルキルは、C~約C、好ましくはC~約Cであり、好ましいアリールは、C~約C20、より好ましくはC~約C14、最も好ましくはCであり、かつ好ましいアルキルアリールは、アルキル部分でC~約C(より好ましくはC~約C)であり、かつアリール部分でC~約C20(より好ましくはC~約C14、最も好ましくはC)である。さらなる実施形態において、表面改質モノマーは、エポキシ、無水物、アセトアミドおよび/またはイソシアヌレート官能基のうちの1つまたは全てを欠く。
特に好ましい表面改質モノマーは、メチルトリメトキシシラン、メチルトリエトキシシラン、ジメチルジメトキシシラン、ジメチルジエトキシシラン、フェニルトリメトキシシラン、フェネチル-トリメトキシシラン、2-(カルボメトキシ)エチルトリメトキシシラン、アセトキシエチルトリメトキシシラン、エチル-トリメトキシシラン、n-ブチルトリメトキシシランおよびこれらの混合物から選択される。
これらのポリマーに使用するための緻密化モノマーは、親水性シラノール基を提供し、かつ、硬化したハードマスク層における最終的な架橋ポリマーの構造のための架橋部位を提供することにより、塗布後の焼成プロセスの間に熱駆動架橋を提供することを補助する。ポリシロキサンの前述の構造(V)、(VI)および(VII)を形成すると思われる、緻密化モノマーとして使用するための好ましい出発化合物には、テトラアルコキシシラン(好ましくはC~約Cアルコキシ、より好ましくはC~約Cアルコキシ)が含まれるが、テトラエトキシシランおよび/またはオルトケイ酸テトラメチルが特に好ましい。
ポリマーは、先に述べたような所望されるモノマーを、好ましくは先に述べたモル比を達成する量の適切な重合溶媒内で溶解することにより合成される。重合溶媒としては、プロピレングリコールモノメチルエーテルアセテート(「PGMEA」)、プロピレングリコールメチルエーテル(「PGME」)、プロピレングリコールエチルエーテル(「PGEE」)、シクロヘキサノン、乳酸エチル、プロパノール、ブタノールおよびこれらの混合物が含まれ得るが、これらに限定されない。ゾル-ゲル縮合のための触媒が同時に添加される間に、シランモノマーを加水分解すべく反応混合物に水が添加される。好ましくは、HO/モノマーのモル比範囲は、約1:1~約10:1、より好ましくは約2:1~約8:1である。適切な触媒としては、鉱酸(塩酸または硝酸など)、酢酸、マレイン酸およびこれらの組合せが含まれるが、これらに限定されない。加水分解は、好ましくは、室温で約1時間~約48時間、より好ましくは約4時間~約24時間、さらにより好ましくは約16時間進むことが許容される。加水分解されたモノマーは、次に、温度約40℃~約120℃、好ましくは約60℃~約100℃、より好ましくは約90℃で、約0.5時間~約72時間、好ましくは約1時間~約48時間、より好ましくは約5時間~約16時間に渡って共重合される。
ポリスチレン標準を用いるガス浸透クロマトグラフィ(GPC)により測定される、結果として生じるポリマーの数平均分子量(Mn)は、好ましくは約500g/mol~約3,000g/mol、より好ましくは約800g/mol~約2,000g/molである。GPCにより測定されるポリマーの重量平均分子量(Mw)の範囲は、好ましくは約600g/mol~約10,000g/mol、より好ましくは約1,000g/mol~約8,000g/mol、さらにより好ましくは約1,500g/mol~約5,000g/molである。
3.組成物の調製
形成されたポリマーは、次に、シリコンハードマスク組成物を形成するために溶媒系内で分散または溶解される。好ましい溶媒系としては、PGMEA、PGME、PGEE、プロピレングリコールn-プロピルエーテル(「PnP」)、乳酸エチル、シクロヘキサノン、ガンマ-ブチロラクトン(「GBL」)、メチルイソブチルカルビノールおよびこれらの混合物よりなるグループから選択される溶媒が含まれる。溶媒系は、組成物の総重量を100重量%として、好ましくは約80%~約99.9重量%、より好ましくは約90%~約99.9%、さらにより好ましくは約99.0%~約99.9%のレベルで利用される。シリコンハードマスク層を形成するために使用される組成物は、組成物の総重量を100重量%として、好ましくは約0.1%~約20固体重量%、より好ましくは約0.1%~約10固体重量%、さらにより好ましくは約0.1%~約1.0固体重量%の固形分を含有する。
溶媒系中で上述の成分を混合すると、シリコンハードマスク層組成物が形成される。さらに、溶媒系内には、任意選択の成分(たとえば、界面活性物質)も同時に分散される。
実施例によっては、添加剤が利用される。好ましくは、添加剤は、シリコンハードマスク層組成物へと単純に混合される。好ましい添加剤としては、ベンジルトリエチルアンモニウムクロリド(「BTEAC」)、tert-ブチルホスホニウムブロミド(「TBPB」)、エチルトリフェニルホスホニウムブロミド(「EtPPB」)およびトリエトキシ-3-(2-イミダゾリン-1-イル)プロピルシランなどの触媒が含まれる。ハードマスク組成物に使用される可能性もある別の添加剤は、トリス(ヒドロキシフェニル)スルホニウムメシラート、トリス(ヒドロキシフェニル)スルホニウムトリフラートおよびこれらの組合せなどの光酸発生剤(「PAG」)である。添加剤が存在する場合、添加剤は(累積的または個別に)、組成物中の固形物の総重量を100重量%として、組成物中に、約0.01%~約2.0重量%、好ましくは約0.1%~約1.0重量%のレベルで存在すべきである。
ある実施形態において、ハードマスク組成物は、ポリシロキサン、溶媒系、触媒および光酸発生剤から略成り、またはまさにこれらから成る。ある実施形態において、ハードマスク組成物は、ポリシロキサン、溶媒系および触媒から略成り、またはまさにこれらから成る。さらなる実施形態において、ハードマスク組成物は、ポリシロキサン、溶媒系および光酸発生剤から略成り、またはまさにこれらから成る。さらなる実施形態において、ハードマスク組成物は、ポリシロキサンおよび溶媒系から略成り、またはまさにこれらから成る。
シリコンハードマスク組成物の使用方法
本発明による方法では、先に述べたようなハードマスク組成物が、基板表面上の、または基板表面上に存在する中間層(後述する)上の層へと形成される。どのようなマイクロ電子基板も利用可能であるが、基板は、好ましくは、シリコン、SiGe、SiO、Si、SiON、SiCO:H(Black Diamondの名称で販売されているものなど)、アルミニウム、タングステン、タングステンシリサイド、ヒ化ガリウム、ゲルマニウム、タンタル、窒化タンタル、Ti、ハフニウム、HfO、ルテニウム、リン化インジウム、ガラスまたはこれらの混合物などの半導体基板である。基板は、平坦な表面を有してもよく、または、トポグラフィックなフィーチャ(ビアホール、トレンチ、コンタクトホール、***したフィーチャ、ライン、他)を含んでもよい。本明細書で使用する「トポグラフィ」は、基板表面内または基板表面上の構造の高さまたは深さを指す。
基板または任意の中間層上には、カーボンリッチ層が形成されてもよい。カーボンリッチ層は、任意の既知の塗布方法によって形成されることが可能であるが、ある好ましい方法は、速度約1,000~約5,000rpm、好ましくは約1,250~約1,750rpmで、約30~約120秒、好ましくは約45~約75秒に渡るスピンコーティングである。「カーボンリッチ」という用語は、組成物中の合計固形分を100重量%として、約50重量%を超える炭素、好ましくは約70重量%を超える炭素、より好ましくは約75%~約80重量%の炭素を含む組成物から形成される層を指す。適切なカーボンリッチ層は、スピンオンカーボン層(SOC)、アモルファスカーボン層およびカーボン平坦化層よりなるグループから選択される。
例示的なカーボンリッチ層は、概して、次の任意選択の成分、すなわち、酸および/または塩基クエンチャ、触媒、架橋剤および表面改質添加剤、のうちの1つ以上と共に、溶媒系内に溶解または分散されるポリマーを損なう。好ましい組成物は、厚い層の形成に適し、かつ、組成物の総重量を100重量%として、好ましくは約0.1%~約70%、より好ましくは約5%~約40%、さらにより好ましくは約10%~約30重量%の固形分を有する。カーボンリッチ組成物が塗布された後、これは、溶媒を蒸発させるために、好ましくは温度約100℃~約400℃、より好ましくは約160℃~約350℃まで、約30秒~約120秒、好ましくは約45秒~約60秒間加熱される。焼成後のカーボンリッチ層の厚さ(エリプソメータによる5箇所平均測定値)は、好ましくは約10nm~約120nm、より好ましくは約20nm~約100nm、さらにより好ましくは約50nm~約60nmである。カーボンリッチ層は、化学気相成長法(「CVD」)、プラズマ化学気相成長法(「PECVD」)、原子層堆積法(「ALD」)またはプラズマ原子層堆積法(「PEALD」)などの他の既知の塗布方法によって形成されてもよい。
本発明によるシリコンハードマスク層は、カーボンリッチ材料または基板もしくは任意の中間層に隣接して塗布されてもよい。シリコンハードマスク層は、好ましくは、スピンコーティングにより、速度約1,000rpm~約3,000rpm、好ましくは約1,500rpm~約2,000rpmで、約30秒~約120秒、好ましくは約30秒~約60秒間塗布される。シリコンハードマスクが塗布された後、これは、溶媒を蒸発させるために、好ましくは温度約150℃~約300℃、より好ましくは約200℃~約250℃まで、約30秒~約120秒、好ましくは約30秒~約60秒間加熱される。この焼成中にゾル-ゲル反応が起こり、ひいては材料が架橋される。焼成後のハードマスク層の厚さ(エリプソメータによる5箇所平均測定値)は、好ましくは約2nm~約50nm、より好ましくは約5nm~約30nm、さらにより好ましくは約10nm~約25nmである。ハードマスク層は、フッ素リッチなプラズマ雰囲気において、フォトレジスト(たとえば、化学増幅型、金属酸化物型または鎖切断型フォトレジスト)のそれの少なくとも約1.5倍のエッチング速度を有するべきである。さらに、カーボンリッチ層は、酸素リッチプラズマエッチング雰囲気において、シリコンハードマスク層のそれの少なくとも約1.5倍のエッチング速度を有するべきである。
シリコンハードマスク層が焼成された後、EUVフォトレジスト(すなわち、イメージング層)は、フォトレジスト層を形成するためにシリコンハードマスク層へ塗布されることが可能である。フォトレジスト層は、任意の従来方法によって形成されることが可能であるが、ある好ましい方法は、フォトレジスト組成物を、速度約350rpm~約4,000rpm(好ましくは約1,000rpm~約2,500rpm)で、約10秒~約60秒(好ましくは約10秒~約30秒)間スピンコーティングする。次に、フォトレジスト層は、場合により、少なくとも約70℃、好ましくは約80℃~約150℃、より好ましくは約100℃~約150℃の温度で、約30秒~約120秒間塗布後焼成(「PAB」)される。焼成後のフォトレジスト層の厚さ(エリプソメータによる5箇所平均測定値)は、典型的には、約5nm~約120nm、好ましくは約10nm~約50nm、より好ましくは約20nm~約40nmである。
ヘキサメチルジシリザン(「HMDS」)のプライミングプロセスは、フォトレジストをコーティングする前に行われてもよい。このプロセスにおいて、ウェーハは、150℃で加熱される間に、密閉チャンバ内でHMDS蒸気に90秒間曝露される。
続いて、フォトレジスト層は、線量約5mJ/cm~約100mJ/cm、好ましくは約10mJ/cm~約80mJ/cm、より好ましくは約20mJ/cm~約60mJ/cmのEUV放射線への曝露によってパターニングされる。より具体的には、フォトレジスト層は、フォトレジスト層の表より上に位置合わせされるマスクを用いて曝露される。マスクは、EUV放射線がマスクから反射してフォトレジスト層の表面に接触することを許容するように設計された部位を有する。マスクの残りの部分は、所定の部位において放射線がフォトレジスト層の表面に接触することを防止するために、光を吸収するように設計される。当業者には、反射部分および吸収部分の配置が、フォトレジスト層内に、最終的には基板または任意の中間層内に形成されるべき所望のパターンに基づいて設計されることが、容易に理解されるであろう。
EUV露光の後、フォトレジスト層は、好ましくは、温度約180℃未満、好ましくは約60℃~約140℃、より好ましくは約80℃~約130℃で、約30秒~約120秒(好ましくは約30秒~約90秒)間、露光後焼成(「PEB」)される。
次に、フォトレジスト層は、パターンを形成するために現像剤と接触される。使用されるフォトレジストがポジティブワーキングであるかネガティブワーキングであるかに依存して、現像剤は、フォトレジスト層の露光部分を除去するか、フォトレジスト層の露光されない部分を除去してパターンを形成する。次に、パターンは、シリコンハードマスク層、存在する任意の中間層、および最終的に基板へ転写される。このパターン転写は、プラズマエッチング(たとえば、CFエッチング剤、Oエッチング剤)またはウェットエッチングもしくは現像プロセスを介して行われることが可能である。パターンがエッチングを介してフォトレジスト層から基板へ転写される実施形態では、典型的なEUVフォトレジストに対するシリコンハードマスク層のエッチング速度は、少なくとも約1倍、好ましくは約1.5倍~約2倍であることが好ましい。
パターン転写がエッチングによって行われるか、現像によって行われるかに関わらず、結果的に得られるフィーチャは、高い解像度を有する。たとえば、本発明の方法によれば、ハーフピッチ約40nm未満、好ましくはハーフピッチ約30nm未満の解像度を達成することができる。シリコンハードマスク層は、最終フィーチャの倒壊マージンを改善する。倒壊マージンは、構造物がまだ立っている場所の最大線量からの、またはネガティブトーン現像レジストもしくはネガティブトーンイメージング・レジストの場合の最小線量からの、線量対サイズとの差によって定量化される。
本明細書における開示内容および以下の実施例を精査すれば、当業者には、本発明の様々な実施形態のさらなる利点が明らかとなるであろう。本明細書に記載の様々な実施形態が、本明細書において別段の指摘のない限り、必ずしも相互に排他的なものでないことは、認識されるであろう。たとえば、ある実施形態に記載または描写された特徴は、他の実施形態にも包含され得るが、必ずしも含まれるわけではない。したがって、本発明は、本明細書に記載の特定の実施形態の様々な組合せおよび/または統合を包含する。
本明細書で使用する、「および/または」という言い回しは、2つ以上のアイテムのリストにおいて使用される場合、列挙されているアイテムのいずれもが単独で使用され得ること、または、列挙されているアイテムのうちの2つ以上の任意の組合せが使用され得ること、を意味する。たとえば、ある組成物が成分A、Bおよび/またはCを含む、または除外すると記述される場合、該組成物は、Aのみ、Bのみ、Cのみ、AとBとの組合せ、AとCとの組合せ、BとCとの組合せ、またはA、BおよびCの組合せを含むこと、または除外することができる。
また、本明細書本文は、本発明の様々な実施形態に関する所定のパラメータを定量化するために数値範囲も用いる。数値範囲が提供される場合、このような範囲が、範囲の低い値のみを記載するクレーム限定ならびに範囲の高い値のみを記載するクレーム限定に対する文字通りの裏付けを提供するものと解釈されるべきであることは、理解される必要がある。たとえば、約10~約100として開示される数値範囲は、クレームにおける「約10より大きい」(上限の記載なし)という記載、およびクレームにおける「約100未満」(下限の記載なし)という記載に対する文字通りの裏付けを提供する。
下記の実施例は、本発明による方法について述べたものである。しかしながら、これらの実施例が例示として提供されるものであり、よってそのいかなる内容も、本発明が包含する範囲に対する限定として解釈されるべきでないことは、理解されるべきである。
[実施例1]
シリコンハードマスク1の合成および配合
この実施例では、3.95グラムのTEOS(ゲレスト社、米国ペンシルバニア州モリスビル)、7.62グラムのMTMS(ゲレスト社、米国ペンシルバニア州モリスビル)、2.62グラムのPETMS(ゲレスト社、米国ペンシルバニア州モリスビル)および3.54グラムのGlyTMS(ゲレスト社、米国ペンシルバニア州モリスビル)を36グラムのPGME(KMGエレクトロニックケミカルズ社、米国テキサス州フォートワース)内で溶解した。次に、8.78グラムの3N酢酸(VWR社、イリノイ州バタビア)をこの溶液に滴下してシロキサンモノマーを加水分解し、溶液を室温で約16時間保存した。反応をNカバー下で保ち、90℃まで20時間加熱して母液1を生成した。得られたポリマーは、移動相としてTHFを用いるTOSOH ECOSEC HLC-8320ゲル浸透クロマトグラフィを使用して測定された、~2000の分子量および1.6の多分散指数(「PDI」)を有していた。
次に、5.89グラムの母液1を110.9グラムのPGMEおよび12.88グラムのPGMEA(KMGエレクトロニックケミカルズ社、テキサス州フォートワース)(90:10)内で溶解し、これに0.29グラムのTBPB溶液(PGME内で0.2重量%)を加えた。できあがった配合物を混合ホイールにかけて一晩混合すると、得られた配合物は、固形分が約0.9重量%であった。
[実施例2]
シリコンハードマスク2の合成および配合
この手順では、3.95グラムのTEOS、4.90グラムのMTMS、6.78グラムのPETMSおよび3.54グラムのGlyTMSを44グラムのPGME内で溶解した。次に、8.78グラムの3N酢酸をこの溶液に滴下してシロキサンモノマーを加水分解し、溶液を室温で約16時間保存した。反応をNカバー下で保ち、90℃まで20時間加熱して母液2を生成した。得られたポリマーは、移動相としてTHFを用いるTOSOH ECOSEC HLC-8320ゲル浸透クロマトグラフィを使用して測定された、~2000の分子量および1.6の多分散指数(PDI)を有していた。
次に、5.9グラムの母液2を110.1グラムのPGMEおよび12.8グラムのPGMEA(90:10)内で溶解し、これに0.29グラムのTBPB溶液(PGME内で0.2重量%)を加えた。できあがった配合物を混合ホイールにかけて一晩混合した。得られた配合物は、固形分が約0.9重量%であった。
[実施例3]
シリコンハードマスク3の合成および配合
この実施例では、3.95グラムのTEOS、7.62グラムのMTMS、2.26グラムのPETMSおよび3.93グラムのEpoTMS(ゲレスト社、米国ペンシルバニア州モリスビル)を35グラムのPGME内で溶解した。次に、8.78グラムの3N酢酸をこの溶液に滴下してシロキサンモノマーを加水分解し、溶液を室温で約16時間保存した。反応物をNカバー下で保ち、90℃まで17.5時間加熱して母液3を生成した。得られたポリマーは、移動相としてTHFを用いるTOSOH ECOSEC HLC-8320ゲル浸透クロマトグラフィを使用して測定された、~2300の分子量および1.7の多分散指数(PDI)を有していた。
次に、7.0グラムの母液3を136.8グラムのPGMEおよび15.9グラムのPGMEA(90:10)内で溶解し、これに0.35グラムのTBPB溶液(PGME内で0.2重量%)を加えた。できあがった配合物を混合ホイールにかけて一晩混合すると、得られた配合物は、固形分が約0.9重量%であった。
[実施例4]
シリコンハードマスク4の合成および配合
この手順では、3.95グラムのTEOS、8.98グラムのMTMSおよび3.54グラムのGlyTMSを33グラムのPGME内で溶解した。次に、8.78グラムの3N酢酸をこの溶液に滴下してシロキサンモノマーを加水分解し、溶液を室温で約16時間保存した。反応物をNカバー下で保ち、90℃まで17.5時間加熱して母液4を生成した。得られたポリマーは、移動相としてTHFを用いるTOSOH ECOSEC HLC-8320ゲル浸透クロマトグラフィを使用して測定された、~1500の分子量および1.5の多分散指数(PDI)を有していた。
次に、4.98グラムの母液4を84.9グラムのPGMEおよび9.9グラムのPGMEA(90:10)内で溶解し、これに0.26グラムのTBPB溶液(PGME内で0.2重量%)を加えた。できあがった配合物を混合ホイールにかけて一晩混合した。得られた配合物は、固形分が約1.0重量%であった。
[実施例5]
シリコンハードマスク5の合成および配合
この実施例では、11.4グラムのTEOS、4.76グラムのMTMSおよび2.36グラムのGlyTMSを29グラムのPGME内で溶解した。次に、8.78グラムの3N酢酸をこの溶液に滴下してシロキサンモノマーを加水分解し、溶液を室温で約16時間保存した。反応物をNカバー下で保ち、90℃まで4時間加熱して母液5を生成した。得られたポリマーは、移動相としてTHFを用いるTOSOH ECOSEC HLC-8320ゲル浸透クロマトグラフィを使用して測定された、~3000の分子量および2.2のPDIを有していた。
次に、7.0グラムの母液5を173.17グラムのPGMEおよび19.90グラムのPGMEA(90:10)内で溶解し、混合ホイールにかけて一晩混合した。得られた配合物は、固形分が約0.7重量%であった。
[実施例6]
シリコンハードマスク6の合成および配合
この手順では、11.65グラムのTEOSおよび7.83グラムのMTEOS(ゲレスト社、米国ペンシルバニア州モリスビル)を26グラムのPGME内で溶解した。次に、8.78グラムの3N酢酸をこの溶液に滴下してシロキサンモノマーを加水分解し、溶液を室温で約16時間保存した。反応物をNカバー下で保ち、90℃まで4時間加熱して母液6を生成した。得られたポリマーは、移動相としてTHFを用いるTOSOH ECOSEC HLC-8320ゲル浸透クロマトグラフィを使用して測定された、~2200の分子量および1.0のPDIを有していた。
次に、9.42グラムの母液6を98.77グラムのPGMEおよび11.81グラムのPGMEA(90:10)内で溶解し、混合ホイールにかけて一晩混合すると、得られた配合物は、固形分が約1.57重量%であった。
[実施例7]
シリコンハードマスク1のFTIR分析
Thermal Scientific Nicolet is50 FTIR分光計を用いて、実施例1のシリコンハードマスクのFTIRスペクトルを取得した。試料を調製するために、実施例1の配合物を再現し、固形分~10重量%に達するように、より少ない溶媒を添加した後、シリコンウェーハ(NESTEC、試験グレード)上へ、1,500rpmのスピン速度で60秒間スピンコーティングした。スピニングの後、層を205℃のホットプレート上で60秒間焼成し、架橋膜を形成した。層の合計厚さは、~250nmであった。膜厚は、M-2000エリプソメータ(J.A.ウーラム社、ネブラスカ州リンカーン)を用いて測定した。次に、ウェーハから膜を掻き取って粉末状態にした。ATR-FTIRモード下で、粉末試料の特性を明らかにした。図1は、FTIRスペクトルを示す。
[実施例8]
シリコンハードマスク5の特性評価
PGMEをストリッピング溶媒とするストリップ試験を用いて、架橋温度を決定した。シリコンハードマスク5(実施例5)を基板上へ1,500rpmでスピンコートし、図2に示す温度で焼成した。厚さを、実施例7で記載したように決定した。次に、PGMEをウェーハ表面上にプールし、1,500rpmで60秒間スピン乾燥した。膜厚を再度決定して、膜減りがあるかどうかを確認した。図2は、これらの結果を示し、マイナスの厚さ損失は、フィルムの膨潤を表す。
シリコンハードマスク5の表面接触角を、AST Optima(B5RM5208-143)接触角測定ツールを用いて決定した。実施例5の材料の接触角を、異なるスポットで5回測定して平均した。水およびヨウ化メチレンを、液滴溶媒として用いた。材料の最終的な表面接触角は、61.8(HO)および51.9(CH)であった。
[実施例9]
シリコンハードマスク1のリソグラフィ結果
OptiStack(登録商標)SOC120材料(ブルーワサイエンス社、ミズーリ州ローラ)の層を、1,486rpmで30秒間のスピンコーティングおよび220℃で60秒間の焼成し、60nmの膜を形成した。シリコンハードマスク1(実施例1)を、1,406rpmで30秒間のスピンコーティングおよび205℃で60秒間の焼成によりOptiStack(登録商標)SOC120材料の層上へスピンコーティングし、25nmの膜を形成した。EUVレジストJSR4267(IMECから供給)を1,040rpmで25秒間のスピンコーティングによりハードマスク層上にコーティングし、続いて130℃で60秒間焼成して35nmのコートを形成した。次に、表1に示すパラメータを用いてレジストを露光した。NXE3300EUVスキャナをイメージングステップに使用し、TEL Pro Zトラックをウェーハプロセスに使用した。図3は、レジストの下に実施例1のシリコンハードマスク層を用いたリソグラフィ品質を示す。
Figure 2022544837000008

Claims (20)

  1. 構造体を形成する方法であって、前記方法は、
    場合により1つまたは複数の中間層を含む、基板を提供すること、
    前記基板、または存在するのであれば前記1つまたは複数の中間層上に、組成物を塗布してシリコンハードマスク層を形成すること、
    場合により、前記シリコンハードマスク層上にヘキサメチルジシリザン・プライミング層を形成すること、
    前記ヘキサメチルジシリザン・プライミング層が存在するのであればその上に、または、ヘキサメチルジシリザン・プライミング層が存在しなければ前記シリコンハードマスク層上にフォトレジスト層を形成すること、および
    前記フォトレジスト層の少なくとも一部分をEUV放射線に曝すこと、を含み、
    前記組成物は、ポリシロキサンを含み、
    前記ポリシロキサンは、
    下記の構造のうちの一方または両方から選択される構造を有する接着促進モノマーと、
    Figure 2022544837000009

    (各Rは、C~約Cアルキルおよび水素から個々に選択され、
    nは、1~約6であり、かつ、
    各Xは、グリシドキシ、エポキシ、エポキシシクロアルキル、無水コハク酸、アセトアミドおよびイソシアヌレート部分から個々に選択される)、
    下記の構造のうちの一方または両方から選択される構造を有する表面改質モノマー、
    Figure 2022544837000010

    (各Rは、C~約Cアルキルから、およびC~約C20アリールから個々に選択され、
    各Rは、C~約Cアルキルおよび水素から個々に選択され、
    各Rは、C~約Cアルキルおよび水素から個々に選択され、
    mは、1~約6であり、かつ、
    各Yは、アセトキシ、エステルおよびアリール部分から個々に選択される)、および、
    下記の構造のうちの1つ、2つまたは3つから選択される構造を有する緻密化モノマー、
    Figure 2022544837000011

    (各Rは、C~約Cアルキルおよび水素から個々に選択される)、
    のうちの一方または両方と、を含む方法。
  2. 前記ポリシロキサンは、前記表面改質モノマーおよび前記緻密化モノマーの両方を含む、請求項1に記載の方法。
  3. 前記ポリシロキサンは、
    約2モル%~約50モル%の接着促進モノマーと、
    約5モル%~約90モル%の表面改質モノマーと、
    約0モル%~約80モル%の緻密化モノマーと、
    を含む、請求項1に記載の方法。
  4. 前記ポリシロキサンは、
    約2モル%~約50モル%の接着促進モノマーと、
    約0モル%~約70モル%の表面改質モノマーと、
    約2モル%~約90モル%の緻密化モノマーと、
    を含む、請求項1に記載の方法。
  5. 前記ポリシロキサンは、
    約0.01モル%~約30モル%の接着促進モノマーと、
    約0モル%~約70モル%の表面改質モノマーと、
    約30モル%~約95モル%の緻密化モノマーと、
    を含む、請求項1に記載の方法。
  6. 前記基板は、シリコン、SiGe、SiO、Si、SiON、SiCO:H、アルミニウム、タングステン、タングステンシリサイド、ヒ化ガリウム、ゲルマニウム、タンタル、窒化タンタル、Ti、ハフニウム、HfO、ルテニウム、リン化インジウム、ガラスおよびこれらの混合物よりなるグループから選択される、請求項1~5のいずれか一項に記載の方法。
  7. 前記フォトレジスト層のEUV放射線への前記曝露の後に、前記フォトレジスト層内にパターンを形成することをさらに含む、請求項1~6のいずれか一項に記載の方法。
  8. 前記パターンを前記シリコンハードマスク層へ、存在するのであれば前記中間層へ、かつ前記基板へ転写することをさらに含む、請求項1~7のいずれか一項に記載の方法。
  9. 中間層が存在し、前記中間層は、カーボンリッチ層である、請求項1~8のいずれか一項に記載の方法。
  10. 構造体を形成する方法であって、前記方法は、
    その上に1つまたは複数の中間層を含むことがある基板を提供すること、
    前記基板、または存在するのであれば前記1つまたは複数の中間層上に、組成物を塗布してシリコンハードマスク層を形成すること、
    場合により、前記シリコンハードマスク層上にヘキサメチルジシリザン・プライミング層を形成すること、
    前記ヘキサメチルジシリザン・プライミング層が存在するのであればその上に、または、ヘキサメチルジシリザン・プライミング層が存在しなければ前記シリコンハードマスク層上にフォトレジスト層を形成すること、および
    前記フォトレジスト層の少なくとも一部分をEUV放射線に曝すこと、を含み、
    前記組成物は、ポリシロキサンを含み、
    前記ポリシロキサンは、
    エポキシ官能性トリアルコキシシラン、無水物官能性トリアルコキシシラン、アセトアミド官能性トリアルコキシシラン、トリアルコキシシリルアルキルイソシアヌレート、およびこれらの混合物を含む接着促進モノマーと、
    テトラアルコキシシラン、および、
    ジアルコキシシラン、トリアルコキシシラン、およびこれらの組合せから選択される表面改質モノマーのうちの一方または両方と、を含む方法。
  11. 前記テトラアルコキシシランは、テトラエトキシシランまたはオルトケイ酸テトラメチルの一方または両方から選択される、請求項10に記載の方法。
  12. 前記接着促進モノマーは、(3-グリシドキシプロピル)トリメトキシシラン、5,6-エポキシヘキシル-トリエトキシシラン、2-(3,4-エポキシシクロヘキシル)エチルトリメトキシシラン、[3-(トリエトキシシリル)プロピル]コハク酸無水物、(3-アセトアミドプロピル)トリメトキシシラン、(1,3-ジ-2-プロペン-1-イル)-5-(([3-トリエトキシシリルプロピル])-(1,3,5-トリアジン-2,4,6(1H,3H,5H)-トリオン)およびこれらの混合物から選択される、請求項10または請求項11に記載の方法。
  13. 前記ポリシロキサンは、前記テトラアルコキシシランおよび前記表面改質モノマーの両方を含む、請求項10~12のいずれか一項に記載の方法。
  14. 前記表面改質モノマーは、メチルトリメトキシシラン、メチルトリエトキシシラン、ジメチルジメトキシシラン、ジメチルジエトキシ-シラン、フェニルトリメトキシシラン、フェネチル-トリメトキシシラン、2-(カルボメトキシ)エチルトリメトキシ-シラン、アセトキシエチルトリメトキシシラン、エチル-トリメトキシシラン、n-ブチルトリメトキシシランおよびこれらの混合物から選択される、請求項10~13のいずれか一項に記載の方法。
  15. 前記ポリシロキサンは、
    約2モル%~約50モル%の接着促進モノマーと、
    約5モル%~約90モル%の表面改質モノマーと、
    約0モル%~約80モル%の緻密化モノマーと、
    を含む、請求項10~12または請求項14のいずれか一項に記載の方法。
  16. 前記ポリシロキサンは、
    約2モル%~約50モル%の接着促進モノマーと、
    約0モル%~約70モル%の表面改質モノマーと、
    約2モル%~約90モル%の緻密化モノマーと、
    を含む、請求項10~12または請求項14のいずれか一項に記載の方法。
  17. 前記ポリシロキサンは、
    約0.01モル%~約30モル%の接着促進モノマーと、
    約0モル%~約70モル%の表面改質モノマーと、
    約30モル%~約95モル%の緻密化モノマーと、
    を含む、請求項10~12または請求項14のいずれか一項に記載の方法。
  18. 前記基板は、シリコン、SiGe、SiO、Si、SiON、SiCO:H、アルミニウム、タングステン、タングステンシリサイド、ヒ化ガリウム、ゲルマニウム、タンタル、窒化タンタル、Ti、ハフニウム、HfO、ルテニウム、リン化インジウム、ガラスおよびこれらの混合物よりなるグループから選択される、請求項10~17のいずれか一項に記載の方法。
  19. 前記フォトレジスト層のEUV放射線への前記曝露の後に、前記フォトレジスト層内にパターンを形成することをさらに含む、請求項10~18のいずれか一項に記載の方法。
  20. 中間層が存在し、前記中間層は、カーボンリッチ層である、請求項10~19のいずれか一項に記載の方法。
JP2022511038A 2019-08-21 2020-08-21 Euvリソグラフィ用下層 Pending JP2022544837A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962889964P 2019-08-21 2019-08-21
US62/889,964 2019-08-21
PCT/US2020/047315 WO2021035108A1 (en) 2019-08-21 2020-08-21 Underlayers for euv lithography

Publications (1)

Publication Number Publication Date
JP2022544837A true JP2022544837A (ja) 2022-10-21

Family

ID=74645611

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022511038A Pending JP2022544837A (ja) 2019-08-21 2020-08-21 Euvリソグラフィ用下層

Country Status (7)

Country Link
US (1) US11361967B2 (ja)
EP (1) EP4018261A4 (ja)
JP (1) JP2022544837A (ja)
KR (1) KR20220051847A (ja)
CN (1) CN114556528A (ja)
TW (1) TW202113488A (ja)
WO (1) WO2021035108A1 (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022553537A (ja) 2019-10-24 2022-12-23 ブルーワー サイエンス アイ エヌ シー. シリコン含有高水分除去可能平坦化層

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6165695A (en) 1998-12-01 2000-12-26 Advanced Micro Devices, Inc. Thin resist with amorphous silicon hard mask for via etch application
JP5131267B2 (ja) * 2007-03-15 2013-01-30 富士通株式会社 表面疎水化膜形成材料、多層配線構造、半導体装置および半導体装置の製造方法
US8257910B1 (en) 2008-06-24 2012-09-04 Brewer Science Inc. Underlayers for EUV lithography
KR101266290B1 (ko) * 2008-12-30 2013-05-22 제일모직주식회사 레지스트 하층막용 하드마스크 조성물 및 이를 이용한 반도체 집적회로 디바이스의 제조방법
US9281207B2 (en) 2011-02-28 2016-03-08 Inpria Corporation Solution processible hardmasks for high resolution lithography
CN103827752B (zh) * 2011-10-06 2018-11-02 日产化学工业株式会社 形成含有硅的euv抗蚀剂下层膜的组合物
WO2013078211A1 (en) 2011-11-21 2013-05-30 Brewer Science Inc. Assist layers for euv lithography
JPWO2013115032A1 (ja) * 2012-02-01 2015-05-11 日産化学工業株式会社 溶剤現像用シリコン含有レジスト下層膜形成組成物を用いた半導体装置の製造方法
US9627217B2 (en) * 2012-04-23 2017-04-18 Nissan Chemical Industries, Ltd. Silicon-containing EUV resist underlayer film-forming composition including additive
JP6319580B2 (ja) 2012-07-30 2018-05-09 日産化学工業株式会社 スルホン酸オニウム塩を含有するケイ素含有euvレジスト下層膜形成組成物
JP6215777B2 (ja) * 2013-06-27 2017-10-18 信越化学工業株式会社 塗布型bpsg膜形成用組成物、該組成物で膜を形成した基板、及び前記組成物を用いたパターン形成方法
US20180292753A1 (en) 2017-03-23 2018-10-11 Jsr Corporation Composition for forming silicon-containing film for euv lithography, silicon-containing film for euv lithography, and pattern-forming method
JP7101932B2 (ja) * 2017-03-23 2022-07-19 Jsr株式会社 Euvリソグラフィー用ケイ素含有膜形成組成物、euvリソグラフィー用ケイ素含有膜及びパターン形成方法
US10186424B2 (en) * 2017-06-14 2019-01-22 Rohm And Haas Electronic Materials Llc Silicon-based hardmask

Also Published As

Publication number Publication date
EP4018261A1 (en) 2022-06-29
EP4018261A4 (en) 2023-09-13
US20210057219A1 (en) 2021-02-25
CN114556528A (zh) 2022-05-27
TW202113488A (zh) 2021-04-01
KR20220051847A (ko) 2022-04-26
US11361967B2 (en) 2022-06-14
WO2021035108A1 (en) 2021-02-25

Similar Documents

Publication Publication Date Title
JP6643411B2 (ja) 湿式剥離性シリコン含有反射防止剤
US8697330B2 (en) Composition for forming a silicon-containing antireflection film, substrate having the silicon-containing antireflection film from the composition and patterning process using the same
KR101339763B1 (ko) 반사방지 하드 마스크 조성물
JP2010519584A (ja) ケイ素ポリマーに基づく反射防止膜用組成物
US20070196773A1 (en) Top coat for lithography processes
WO2011052611A1 (ja) 反転パターン形成方法及びポリシロキサン樹脂組成物
JP2022544837A (ja) Euvリソグラフィ用下層
JP5625301B2 (ja) シリコン含有膜形成用組成物及びシリコン含有膜並びにパターン形成方法
JP2009186677A (ja) 感光性樹脂組成物、シリカ系被膜の形成方法、及びシリカ系被膜を備える装置及び部材
JP2010117439A (ja) ポジ型感放射線性組成物、硬化パターン形成方法及び硬化パターン
JP2011213921A (ja) シリコン含有膜形成用組成物及びシリコン含有膜並びにパターン形成方法
US11817317B2 (en) High-silicon-content wet-removable planarizing layer
US20240134281A1 (en) Euv-induced condensation of polysiloxane sol-gel thin film
KR20050044501A (ko) 포토리소그래피용 무반사 코팅 및 이의 제조 방법
JP4655633B2 (ja) 放射線硬化性組成物、その保存方法、硬化膜形成方法、パターン形成方法、パターン使用方法、電子部品及び光導波路
CN112368645B (zh) 用于euv光刻的粘附层
JP3801192B2 (ja) 放射線硬化性組成物、その保存方法、硬化膜形成方法、パターン形成方法、パターン使用方法、電子部品及び光導波路
JP2006091906A (ja) 放射線硬化性組成物、その保存方法、硬化膜形成方法、パターン形成方法、パターン使用方法、電子部品及び光導波路
TW202232235A (zh) 用於平版印刷的化學勻相矽硬遮罩
TW202424649A (zh) Euv誘導之聚矽氧烷溶膠-凝膠薄膜之縮合
CN116500863A (zh) 组合的ARC和Si基硬掩模薄膜的组合物
CN116500864A (zh) 组合的ARC和Si硬掩模的组合物
JP2024099520A (ja) 高解像度パターニングのためのシラノール含有有機‐非有機ハイブリッドコーティング
JP2012222104A (ja) シリカ系被膜の形成方法及び電子部品
JP2006091817A (ja) 放射線硬化性組成物、その保存方法、硬化膜形成方法、パターン形成方法、パターン使用方法、電子部品及び光導波路

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230711

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20240305

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20240529