JP2001520321A - 非対称の流動形状を用いるプロセスチャンバ用リッドアセンブリ - Google Patents

非対称の流動形状を用いるプロセスチャンバ用リッドアセンブリ

Info

Publication number
JP2001520321A
JP2001520321A JP2000517126A JP2000517126A JP2001520321A JP 2001520321 A JP2001520321 A JP 2001520321A JP 2000517126 A JP2000517126 A JP 2000517126A JP 2000517126 A JP2000517126 A JP 2000517126A JP 2001520321 A JP2001520321 A JP 2001520321A
Authority
JP
Japan
Prior art keywords
passage
lid assembly
major surface
orifices
assembly according
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2000517126A
Other languages
English (en)
Other versions
JP4335438B2 (ja
Inventor
ウォン ワン,
エリ イー,
ツァン ファン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2001520321A publication Critical patent/JP2001520321A/ja
Application granted granted Critical
Publication of JP4335438B2 publication Critical patent/JP4335438B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/21Circular sheet or circular blank
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/21Circular sheet or circular blank
    • Y10T428/218Aperture containing

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)

Abstract

(57)【要約】 プロセスチャンバを収容するエンクロージャーとクリーンガス供給源を有するタイプの化学気相堆積(CVD)装置は、第1と第2の主要面が対向する基板(46)を有するリッドと、その間に延びる複数の貫通路(52、164、166)を有することで、プロセスチャンバ内にクリーンガスの非対称の流れを提供する。特に、第2の主要面(50)の一部は、リッドが閉位置にあるときは、切取面にありプロセスチャンバと対面する。第2の主要面の残りの部分には凹み部分を設けて、中央凹部分(68)と環状の凹部分(70)とを画定する。環状の凹部分は、基面と、基面から切取面に近接した付近まで延びる間隔をとって離された2つの側面(70a、70b)を有する。複数の貫通路は、第1および第2の貫通路からなり、それぞれ第1の主要面の開口部からオリフィスまで延びる。第2の貫通路と関連するオリフィス(164a、166b)は、環状の凹部分の両側面のうちの片面に配置される。第1の貫通路と関連するオリフィス(36)は、間隔をとって離された側面と垂直な方向に延びる平面にある。

Description

【発明の詳細な説明】
【0001】
【関連出願のクロスリファレンス】
本発明は、Jonathan Frankel、Inna Shmurun、
Visweswaren Sivaramakrishinan、Eugene
Fukshanskiが共同発明者であり本願と同一の譲受人に譲渡された「
高温プロセスチャンバ用リッドアセンブリ(LID ASSEMBLY FOR
HIGH TEMPERATURE PROCESSING CHAMBER
)」という名称の特許出願と、Jonathan Frankel、Hari
Ponnekanti、Inna Shmurun、Visweswaren
Sivaramakrishinanが共同発明者であり本願と同一の譲受人に
譲渡された「高温プロセスチャンバ用ヒータ/リフトアセンブリ(HEATER
/LIFT ASSEMBLY FOR HIGH TEMPERATURE
PROCESSING CHAMBER)」という名称の特許出願と、Jona
than Frankel、Visweswaren Sivaramakri
shinanが共同発明者であり本願と同一の譲受人に譲渡された「高温プロセ
スチャンバ用チャンバライナ(CHAMBER LINER FOR HIGH
TEMPERATURE PROCESSING CHAMBER)」という
名称の特許出願と、Gary FongおよびIrwin Silvestre
が共同発明者であり同一の譲受人に譲渡された「リモートプラズマ装置を底部に
取り付けた基板処理装置(SUBSTRATE PROCESSING APP
ARATUS WITH BOTTOM−MOUNTED REMOTE PL
ASMA SYSTEM)」という名称の特許出願と、Jonathan Fr
ankelが発明者であり本願と同一の譲受人に譲渡された「高温プロセスチャ
ンバ用リフトアセンブリ(LIFT ASSEMBLY FOR HIGH T
EMPERATURE PROCESSING CHAMBER)」という名称
の特許出願と、Visweswaren Sivaramakrishinan
とGary Fongが共同発明者であり本願と同一の譲受人に譲渡された「熱
(非プラズマ)処理におけるチャンバ洗浄終了検出の装置および方法(SYST
EM AND METHOD FOR DETECTING END OF C
HAMBER CLEAN IN A THERMAL (NON−PLASM
A) PROCESS)」という名称の特許出願と、Visweswaren
Sivaramakrishinan、Ellie Yieh、Jonatha
n Frankel、Li−Qun Xia、Gary Fong、Srini
vas Nemani、Irwin Silvestre、Inna Shmu
runおよびTim Levineが共同発明者であり本願と同一の譲受人に譲
渡された「半導体ウェーハの高熱処理装置および方法(SYSTEMS AND
METHODS FOR HIGH TEMPERATURE PROCES
SING OF SEMICONDUCTOR WAFERS)」という名称の
特許出願と、Gary Fong、Li−Qun Xia、Srinivas
NemaniおよびEllie Yiehが共同発明者であり本願と同一の譲受
人に譲渡された「基板表面を洗浄するための方法および装置(METHODS
AND APPARATUS FOR CLEANING SURFACES
IN A SUBSTRATE PROCESSING SYSTEM)」とい
う名称の特許出願と、Li−Qun Xia、Visweswaren Siv
aramakrishnan、Srinivas Nemani、Ellie
YiehおよびGary Fongが共同発明者であり本願と同一の譲受人に譲
渡された「チャンバ材料表面からフッ素をゲッタリングするための方法および装
置(METHODS AND APPARATUS FOR GETTERIN
G FLUORINE FROM CHAMBER MATERIAL SUR
FACES)」という名称の特許出願と、Li−Qun Xia、Ellie
YiehおよびSrinivas Nemaniが共同発明者であり本願と同一
の譲受人に譲渡された「減圧高温状態でプレメタル誘電体層を堆積させるための
方法および装置(METHODS AND APPARATUS FOR DE
POSITING PREMETAL DIELECTRIC LAYER A
T SUB−ATMOSPHERIC AND HIGH TEMPERATU
RE CONDITIONS)」という名称の特許出願と、Ellie Yie
h、Li−Qun XiaおよびSrinivas Nemaniが共同発明者
であり本願と同一の譲受人に譲渡された「薄膜トレンチ分離用の方法および装置
(METHODS AND APPARATUS FOR SHALLOW T
RENCH ISOLATION)」という名称の特許出願と、Jonatha
n Frankelが発明者であり本願と同一の譲受人に譲渡された「気相堆積
装置の温度制御用装置および方法(SYSTEMS AND METHODS
FOR CONTROLLING THE TEMPERATURE OF A
VAPOR DEPOSITION APPARATUS)」という名称の特
許出願と、Gary Fong、Fong ChangおよびLong Ngu
yenが共同発明者であり本願と同一の譲受人に譲渡された「マイクロ波洗浄応
用の安定化前プラズマ発生方法および装置(METHODS AND APPA
RATUS FOR PRE−STABILIZED PLASMA GENE
RATOR FOR MICROWAVE CLEAN APPLICATIO
NS)」という名称の特許出願と、Ellie Yieh、Li−Qun Xi
a、Paul GeeおよびBang Nguyenが共同発明者であり本願と
同一の譲受人に譲渡された「不純物が添加されたシリコン酸化膜を用いて超薄膜
の不純物が添加された領域を形成するための方法および装置(METHOD A
ND APPARATUS FOR FORMING ULTRA−SHALL
OW DOPED REGIONS USING DOPED SILICON
OXIDE FILMS)」という名称の特許出願とに関する。上記に参照し
た出願はそれぞれ本発明の譲受人であるアプライドマテリアルズインコーポレイ
テッド(Applied Materials Inc.)に譲渡され、それら
の内容全体を参照により本願明細書に引用したものとする。
【0002】
【発明の背景】
本発明は半導体処理に関する。さらに詳しく言えば、本発明はプロセスチャン
バ内部の洗浄を容易にするプロセスチャンバのリッドに関する。
【0003】 化学気相堆積(CVD)処理中、プロセスチャンバ内部に放出された反応ガス
は、被処理基板の表面上に、酸化シリコン層や窒化シリコン層等の層を形成する
。化学気相堆積処理中に、CVD装置の他の場所でも酸化物/窒化物が堆積する
。CVD装置が周期的に洗浄されなければ、酸化物/窒化物の残留物によってC
VD処理過程に有害な影響が生じる。これらの有害な影響をなくすには、周期的
な洗浄処理を行い、N枚ウェーハ毎に酸化物/窒化物の残留物を除去する。ここ
でNは整数である。しかしながら、洗浄処理を実行すると、CVD装置が周期的
に中断されてしまい、装置のスループットが下がってしまう。
【0004】 CVD装置の総中断時間を短くするため、上述した洗浄処理により、2種類の
洗浄技術が用いられる。すなわち、ガス洗浄技術と湿式洗浄技術である。ガス洗
浄中は、酸素、NF3、ヘリウムおよび/または窒素などのクリーンガスがプロ セスチャンバ内に流入されて、プロセスチャンバ内にある酸化物の残留物を除去
する。プロセスチャンバと関連するリッドが閉位置にあるときに形成されるプロ
セスチャンバの真空密封を破壊することなく、ガス洗浄技術が達成される。この
技術により、洗浄処理を実行するために必要な中断時間量を最小に抑えることが
できる。ガス洗浄技術はCVD装置にある酸化物の残留物の一部を除去すること
ができないため、周期的な湿式洗浄技術を用いる必要がある。湿式洗浄技術を用
いている間、プロセスチャンバの真空密封がプロセスチャンバのリッドを開位置
に移動することによって破壊される。化学洗浄剤を用いてユーザがプロセスチャ
ンバを物理的に拭く。このように、湿式洗浄技術を用いると、ガス洗浄技術より
も実質的にさらに時間がかかってしまい、CVD装置の中断時間が長くなる。
【0005】 したがって、必要とされるものは、洗浄に必要な時間を短くするCVD装置で
ある。
【0006】
【発明の要約】
本発明は、プロセスチャンバを収容するエンクロージャーと、プロセスチャン
バに取り付けられたクリーンガスの供給源とを有するタイプのCVD装置である
。この装置は、対向する主要面を有する基板をもつリッドと、それらの間に延び
る複数の貫通路を有し、プロセスチャンバ内にクリーンガスの非対称的な流れを
提供することを特徴とする。非対称的な流れをつくることによって、リッドに形
成された環状の凹部分から残留物を除去する問題が解消される。特に、環状の凹
部分からの残留物の除去は、プロセスチャンバの残りの部分からの残留物除去よ
りも実質的に長い時間がかかることが観察された。上述の複数の貫通路を設ける
ことにより、ガス洗浄技術を用いて、装置から残留物を除去する時間が短くなる
。特に、第2の主要面の一部はある切取面にあり、第2の主要面の残りの部分に
は凹部分が設けられて環状の凹部分を画定する。環状の凹部分は、基面と、基面
から切取面に近接した付近まで延びる間隔をとって離された2つの側面とを有す
る。複数の貫通路は、第1の貫通路と第2の貫通路からなり、それぞれの貫通路
は第1の主要面の開口からオリフィスまで延びる。第2の貫通路と関連するオリ
フィスは、環状の凹部分の側面のうちの一面に配置される。第1の貫通路と関連
するオリフィスは、切取面に対して平行であるが切取面から離れた位置にある面
にある。
【0007】 クリーンガスの供給源は導管を介してリッドと流通状態に配置される。導管は
吸気孔と複数の排気孔を含む。吸気孔はクリーンガスの供給源に結合されている
。複数の排気孔のうちの1つの孔は、第1の貫通路と関連する開口部に結合され
る。残りの複数の排気孔は第2の貫通路に結合される。1つの第1の貫通路と2
以上の第2の貫通路があることが好ましい。第1の貫通路は、リッド内の中央に
設けられている。環状の凹部分は第1の貫通路の周りに半径方向に非対称に設け
られている。2つの貫通路と関連する開口部は、導管の共通の排気孔に接続され
ている。第2の貫通孔は、互いに垂直な共通の排気孔から延びる。
【0008】
【発明の実施の形態】
図1を参照すると、CVD装置10が、アルミニウムや陽極処理を施したアル
ミニウム等の処理適合性材料から形成したエンクロージャー・アセンブリ12を
含む。エンクロージャー・アセンブリ12は、開口部18を有するプロセスチャ
ンバ16を画定するハウジング14と、真空リッド20を含む。真空リッド20
は、ヒンジ22を介してハウジング14に枢結され、選択的に開口部18を覆う
。ヒンジ22の反対側に、ハンドル24が真空リッド20に取り付けられている
。ハンドル24は、開位置と閉位置との間で真空リッド20を移動させやすくす
る。開位置では、開口部18が露出されて、プロセスチャンバ16にアクセスで
きるようになる。閉位置では、真空リッド20は開口部18を覆い、そこに流体
密の密封を形成する。このため、ハウジング14に対して弾性的に真空リッド2
0を圧迫させるために、リッドクランプ26が用いられることもある。しかしな
がら、ヒンジ22は、固定ラチェットメカニズム28を含んでおり、真空リッド
20が閉位置に偶発的に移動しないようにする。
【0009】 真空リッド20には通常、ガス分配アセンブリ30が取り付けられている。ガ
ス分配アセンブリ30は、反応ガスおよびキャリヤガスを吸気管32を介してプ
ロセスチャンバ16内に排出し、これに関しては以下により詳細に記載する。真
空リッド20にカバー34が重ね合わせられ、ガス分配アセンブリ30を包み込
むように適用される。このため、カバー34は、真空リッド20がある平面に平
行に延びる平面にあるカバー部36を含む。カバー部36から側壁38が周辺部
40まで延びる。周辺部40の外形は通常、真空リッド20に設けられた装置1
0の構成部品の外形と合致するものである。例えば、周辺部40は、カバー34
が真空リッド20に嵌められるときに、リッドクランプ26の1つを受け入れる
ように配置される凹部分42を含むこともある。プロセスチャンバ16へのアク
セスを容易にするために、真空リッド20とハウジング14間の液体密の密封性
を損なわないように、ハウジング14にスリット弁開口部44と、さらに真空固
定ドア(図示せず)がある。スリット弁開口部44により、プロセスチャンバ1
6と装置10の外部との間でウェーハ(図示せず)を移送することができる。上
述した移送は、あらゆる従来のウェーハ移送アセンブリ(図示せず)によって達
成されているものである。従来のロボットによるウェーハ移送アセンブリの例が
、メイダン(Maydan)の本願と同一の譲受人に譲渡された米国特許題4,
951,601号公報に記載されており、その内容全体を参照により本願明細書
に引用したものとする。
【0010】 図2および図3を参照すると、真空リッド20は、対向する第1および第2の
主要面48および50を有する基板46を含む。基板46には中央貫通路52が
形成され、第1の主要面に配置された開口部54と、第2の主要面50に配置さ
れたオリフィス56間に延びることで、第1と第2の主要面48と50とを流通
状態にする。第1の主要面48内に円形の凹部分58が形成され、中央貫通路5
2を中心に半径方向に対称的に設けられている。円形凹部分58の両側には内部
および外部環状肩部60aと60bが形成される。内部および外部環状肩部60
aおよび60bに載せ、図3により明確に示されている冷却材通路60cを画定
するように環状キャップ62が設けられる。一般に、環状キャップ62は、基板
46の第1の主要面48に溶接されて、その間に流体密の密封性を与える。
【0011】 図2を再度参照すると、基板46内に入口64と出口66が形成することで、
円形の凹部分58を図1により詳細に示されている導管63を介して冷却材装置
(図示せず)と流通状態にする。このようにして、冷却材が図3に示した冷却材
通路60cを通るように向けられ、基板46の熱制御を行う。冷却材通路60c
のより詳細な例示的デザインは、1996年4月16日出願の本願と同一の譲受
人に譲渡された同時継続出願第08/631,902号に開示されており、その
内容全体を参照により本願明細書に引用したものとし、さらにウォング(Wan
g)の本願と同一の譲受人に譲渡された米国特許第4,872,947号に開示
されており、その内容全体を参照により本願明細書に引用したものとする。
【0012】 第2の主要面50は、真空リッド20が閉位置にあるとき、プロセスチャンバ
16に対面している。図3に示すように、第2の主要面50は切取面Tにある。
第2の主要面50内に、中央の凹部分68および環状の凹部分70が形成される
。オリフィス56は中央の凹部分68内に配置され、環状の凹部分70は中央の
凹部分68を取り囲む。環状の凹部分70は、基面70aおよび間隔をとって離
した側面70bを有する。基面70aは、図4により明確に示されている中心軸
70cを有する。側面70bは、中心軸70cの両側に中心軸から等間隔に設け
られている。中心軸70cは、オリフィス56の周りに半径方向に対称的に設け
られている。
【0013】 側面70bは、基面70aから切取面Tに最も近接した位置まで延びる。環状
の凹部分70によって、以下により詳細に記載するように、堆積ガスを排気しや
すくなる。
【0014】 図2および図3を再度参照すると、一般に必ずしも必要なものではないが、中
央の凹部分68内に装着されるようにガス分散板72が形成される。中央の凹部
分68とガス分散板72の相対形状寸法は、隙間74をそれらの間に設けたもの
である。このため、最終的な載置位置状態になったときにガス分散板72が載る
中央凹部分68の外側縁に沿って肩部76が設けられている。代わりに、ガス分
散板72は隙間74を限定するために凹部分を有する(図示せず)。ガス分散板
72は中央に配置した複数のガス分散孔75を含み、通常、この孔はそれぞれ約
0.02〜0.04mmの範囲内に測定される直径を有する。複数のガス分散孔
75の周りには、複数の取付用オリフィス78を含む周辺領域76がある。取付
用オリフィスはそれぞれ、第2の主要面50に配置された雌ねじ孔80に揃えら
れる。このようにして、ガス分散板72は取付用ねじ86を用いて基板46に装
着される。
【0015】 ガス分散板72に隣接して装着されるものは、中央に設けられた複数のガス分
配孔90を含み、外側フランジ92を画定するガス分配板88である。ガス分配
板88は、外側フランジ92の周りに位置する複数の取付用オリフィス94を含
み、オリフィスはそれぞれ第2の主要面50の雄ねじ孔96に揃えられる。この
ようにして、ガス分配板は、取付用ねじ98を用いて基面46に装着される。ガ
ス分配孔90の配置や大きさは応用に応じて望ましいものを用いてよい。しかし
ながら、ガス分配孔90が複数のガス分配孔75に対向して設けられ、それを通
してガスを均一に分配し、処理中および処理後に剥がれてウェーハ(図示せず)
上に落ちて堆積物を形成しないように、均等に間隔をとって設けられる。通常、
ガス分配孔90の直径はそれぞれ5〜100ミルのもので、さらに約10〜50
ミルの範囲にあるものが好ましい。ある例示的実施形態では、複数の孔90が隣
接するリング間の距離をほぼ均一に保ち、同心リングとして配列される。各リン
グ内の孔と孔との間隔は、ほぼ同じものである。ガス分配孔の適切な配置をより
詳細に記載したものは、ウォングの本願と同一の譲受人に譲渡された米国特許第
4,872,947号公報に記載されており、その内容全体はすでに参照により
本願明細書に引用したものである。
【0016】 基板46と、ガス分散板72と、ガス分配板88の相対形状寸法は、最終的な
載置位置にあるとき、複数のガス分配孔90と複数のガス分散オリフィス75の
間に隙間100が存在するようなものである。図3に示すように、ガス分散板7
2の厚みは、中央の凹部分68の深さよりも薄いものである。このように、ガス
分配板88が第2の主要面50に載置されると、ガス分散板72とガス分配板8
8の間にチャンバ102が形成される。ガス分散板72とガス分配板88は、高
温処理に耐性なあらゆる処理適応性材料、例えば酸化アルミニウムや窒化アルミ
ニウム(AIN)等のセラミック材料や、アルミニウムや陽極処理を施したアル
ミニウム等の金属からなることで、残留物の堆積を最小に抑える。取付用ねじ8
6と98は、ニッケル、HasteloyTM、HaynesTM等の処理適応性材
料からなる。
【0017】 図5を参照すると、ガス分配アセンブリ30は、冷却材マニホールド(図示せ
ず)と、クリーンガスマニホールド104、ガス混合箱106、および複数のガ
ス通路110と112を含む。基板46の上面または側面に固定された冷却材マ
ニホールドは、水またはグリコール/水混合物等の冷却材流体を熱交換器から受
ける。冷却材は、冷却材マニホールドから冷却材通路60cを通って分配される
。ガス混合箱106はミキシングチャンバ114を含む。クリーンガスマニホー
ルド104の入口開口部118から、ミキシングチャンバ114に配置された出
口開口部119まで延びる。ガスミキシングチャンバ114は、図3に示された
基板46の開口部54に結合される出口120を含む。図5を再度参照すると、
入口孔110aと112aからミキシングチャンバ114内に配置された出口孔
(図示せず)までそれぞれガス通路110と112が延びる。このように、ガス
通路110と112は、ミキシングチャンバ114と流通状態になる。ガス通路
110と112は一般に、破線115で示されているガス供給箱内に収められる
ことで、処理中高圧RF電力を印加することによりガス通路を通るガスの破壊を
防ぐ。例示的なガス供給箱は、ウォング(Wang)の米国特許第4,872,
947号公報に記載されており、その完全な内容全体を参照により本願明細書に
引用したものとする。
【0018】 図6を参照すると、ガス分配アセンブリは、クリーンガスマニホールド104
とガス混合箱106を第1の主要面48にボルトで止め付けることにより、この
主要面48に取り付けられている。吸気管32は、ガスをプロセスチャンバ16
内に送るための内側通路32aと、クリーンガスをプロセスチャンバ内に向ける
ためのもので、図5に示されたミキシングチャンバ114と流通した外側の環状
通路32bを含むものが好ましい。図6を再度参照すると、導管116は、ハウ
ジング14と一体に形成された流れ導管112の出口120と結合する入口開口
部118と、真空リッド20−ハウジング14の嵌合面の外形に合う形状をして
いる。導管116にはライナ124が設けられており、そこを通るクリーンガス
ラジカルにより腐食したりエッチングされないようにする。出口120の反対側
で流れ導管122に取り付けているものは、図7により明確に示したリモートマ
イクロ波プラズマ装置127である。
【0019】 図6を再度参照すると、プロセスチャンバ16内に設けられているものは、ウ
ェーハ支持受台132に結合されたヒータ/リフトアセンブリ130チャンバラ
イナ134である。受台132は、真空リッド20が閉位置にあるとき、ヒータ
/リフトアセンブリ130と真空リッド20間に位置される。ヒータリフトアセ
ンブリ130は、支持受台132と真空リッド20との間の間隔を変更するよう
に制御して移動させる。プロセスチャンバ16内の支持受台132の位置に関す
る情報は、センサ(図示せず)によって提供される。支持受台132はまた、抵
抗加熱した部品も含むものである。支持受台132は、窒化アルミニウムおよび
酸化アルミニウム(AL23またはアルミナ)を含むあらゆる処理適応性材料か
ら形成されるものであってよい。チャンバライナ134は支持受台132を囲み
、真空リッド20が閉位置にあるとき、環状流体チャネル136の下側部分を画
定し、環状流体チャネル136の上側部分は環状の凹部分70によって画定され
る。チャンバライナ134は一般に、アルミナまたは窒化アルミニウム等のセラ
ミック材料からなり、これにより支持受台132とプロセスチャンバ16の壁1
37間の温度勾配が下がる。
【0020】 図7を参照すると、リモートマイクロ波プラズマ装置127は、導管142を
介してガスの供給源140と流通し、さらに導管116と流れ導管122を介し
てプロセスチャンバ16と流通したプラズマアプリケータ138を含む。マグネ
トロン144などのマイクロ波発生器は、アイソレータ146と自動同調器14
8を介してプラズマアプリケータ138と電気的に連通している状態である。特
に、マグネトロン144とアイソレータ146の間に第1の導波管150が結合
され、自動同調器148とアイソレータ146の間に第2の導波管152が結合
され、自動同調器148とアプリケータ138の間に第3の導波管154が結合
されている。マグネトロン144で発生したマイクロ波エネルギーは、導波管1
50、152、154によりアプリケータ138の方向に進む。自動同調器14
8は、マグネトロン144に反射されたマイクロ波エネルギー量を減少させる。
自動同調器148は、リモートマイクロ波プラズマ装置127の残りの部品にア
プリケータにより反射されたマイクロ波エネルギーを最小にする。吸気管32に
結合されているものは、一般に156で示したもので、ガス通路158と160
を通り、例えばオゾン、TEOS,TEPO,ヘリウム等の反応ガスの供給源で
ある。混合容量162を1以上のガス通路と流通状態にすることで、吸気管32
に入る前にさまざまなガスを混合することができる。それでも、ガスがプロセス
チャンバ16に入る前に、混合が混合箱内で生じることがある。
【0021】 図6および図7の両図を参照すると、装置10と電気的に連通状態にプロセッ
サ151が置かれることで、装置の動作が調整される。コントローラとして機能
して、プロセッサ151はシステムコントロールソフトウェアを実行し、このソ
フトウェアは、プロセッサ151に電子結合されているメモリ153内に格納さ
れたコンピュータプログラムである。ハードディスクドライブ、フロッピーディ
スクドライブ、カードラックもしくはそれらの組み合わせ等、あらゆる種類のメ
モリデバイスが用いられる。プロセッサ151は、システムコントロールソフト
ウェアの制御下で動作し、このソフトは、タイミング、ガス混合、チャンバ圧、
チャンバ温度、マイクロ波力レベル、サセプタ位置や特定の処理の他のパラメー
タを命じる命令セットを含む。他のメモリ、例えばフロッピーディスクやディス
クドライブや他の適切なドライブに指し込まれる他のコンピュータプログラムの
プロダクトなどに格納されるような他のコンピュータプログラムも、プロセッサ
151を動作させるために使用される場合もある。
【0022】 堆積処理中、真空リッド20は閉位置に置かれる。ヒータ/リフトアセンブリ
130は、真空リッド20に近接して配置された支持受台132を処理位置14
9に位置させる。処理位置149内に配置されると、支持受台132は、真空ラ
イナ134と環状流体チャネル136に囲まれる。このようにして、支持受台1
32はガス分配板88に近接して配置される。吸気管32を介してガス混合箱1
06に反応ガスおよびキャリヤガスが供給される。ガス混合箱106により、上
述したガスが混合されて、処理ガスを形成する。次いで、処理ガスはガス分散板
72とガス分配板88を通り、プロセスチャンバ16内に入り、ウェーハ(図示
せず)が位置されるであろう受台132の方向に排出される。このようにして、
処理ガスは層流状に受台132全体に半径方向に均一に分配されることになる。
【0023】 装置10で実行される堆積処理は、大気圧以下に減圧された熱処理であり、減
圧CVD(SACVD:sub−atmospheric CVD)としてしば
しば参照される。プロセスチャンバ16内に反応ガスが流入して、支持受台13
2上に載置されたウェーハ(図示せず)上に所望の薄膜をつくる熱を誘発する化
学反応(均質性または異質性)が起こる。一般に、約400〜800℃と高熱に
達することが可能な抵抗加熱された受台132によって熱が分配される。このよ
うに熱を分配することによって、ウェーハを均一に高速加熱し、プロセスチャン
バ16における複数のステップからなる処理において、正常位置の堆積ステップ
、再流入および/またはドライブインステップ、正常ステップおよび/またはシ
ーズニング/ゲッタリングステップを行う。代わりに、RF電力供給源(図示せ
ず)からガス分配板88に印加したRFエネルギーにより、制御されたプラズマ
がウェーハに隣接して形成される。さらに、より低いRF電極が用いられること
で、RF電力が単一周波数RF電力で板ガス分配板88に供給されるか、もしく
は混合周波数RF電力でガス分配板88および上記より低いRF電極に供給され
る。二重周波数RF電力を2つの別々の電極に供給することで、プロセスチャン
バ16内に導入された反応種の堆積が容易になる。プラズマ処理において、当業
者には公知のように、気相堆積装置10の部品のうちRFエネルギーを適用させ
るように修正しなければならいものもある。
【0024】 図7を再度参照すると、ガス洗浄技術を用いている間、供給源140からの反
応ガスは、プロセスチャンバ16のポンプ式排気装置(図示せず)により生じた
真空下で、マグネトロン144から送られたマイクロ波エネルギーが定常波を形
成するプラズマアプリケータ138内に流入する。アプリケータ138の定常波
は反応ガスからプラズマを点火保持し、反応ラジカルの流れも真空下におかれ、
アプリケータ138から流れ導管122を通り、導管116を介してプロセスチ
ャンバ16の方へと排出され、ウェーハや内室壁や装置10の他の部品をエッチ
ングする。さらに、マイクロ波プラズマ装置127を用いて、受台132上にあ
るウェーハ(図示せず)の表面から元々ある酸化物または残留物を除去、すなわ
ちエッチングする。
【0025】 図6および図7の両方を再度参照すると、ポンプ155は、真空圧を供給して
処理ガスとパージガスの両方とさらに残留物を、プロセスチャンバ16から、ガ
スが排気ライン157に沿って装置10から排出される環状の流体チャネル13
6を通して引き出す。特に、吸気ポートまたは吸気管(図示せず)からハウジン
グ14の底壁を通りプロセスチャンバ16内に流入する。次いでパージガスは、
受台132を超えて上方に流れ、環状の流れチャネル136内に流入する。次い
でガスは、矢印159で示す方向に、環状の流れチャネル136内に入り排気ラ
イン157を介して排気される。排気ガスと残留物は、プロセッサ151の制御
下で絞り弁装置161により調整された流速で、環状の流れチャネル136から
排気ライン157を介して放出されることが好ましい。このようにして、矢印1
52で示すように、受台132全体を半径方向外側に排出される。
【0026】 環状の凹部分70から酸化物/窒化物の残留物の除去に関するガス洗浄技術を
行っている間、ある問題が生じた。特に、第2の主要面50の残り部分とプロセ
スチャンバ16の残り部品から残留物を除去する作業と比較して、環状の凹部分
70から残留物を除去する方が実質的に時間が長くかかることが分かった。上述
の問題を解消するために、図8により明確に示した第2の貫通路164と166
を、そこから出てくるガスが環状凹部分70内に向けられるように基板46に形
成した。あらゆる数の第2の貫通路が含まれているが、少なくとも2つの第2の
貫通路を持つことが好ましい。中央の貫通路52と同様に、第2の貫通路164
と166のはそれぞれ、基板46の第2の主要面50ないにそれぞれ形成された
オリフィス164aと166aから第1の主要面48まで延びる。第2の貫通路
164と166はそれぞれ、第1の主要面48の別々の開口部と関連する。しか
しながら、第2の貫通路164と166が、図6にさらに明確に示した流れチャ
ネル123を介して、導管116と流通状態にある第1の主要表面48に形成さ
れる共通開口部168まで延びることが好ましい。
【0027】 図8を再度参照すると、第2の貫通路164と166は、共通の開口部168
とオリフィス164aと166aとの間の基板46の経路に沿って延びる。しか
しながら、貫通路164と166は、オリフィス164aと164aが側面70
bの片面に配置され、さらに好ましくは、中央の貫通路52から離れて配置され
た共通の側面に配置される。オリフィス164aと166aのそれぞれの中央線
164bと166bが中央軸70cに正接方向に延びることが好ましい。このよ
うにして、オリフィス164aと166aはそれぞれ、オリフィス56が存在す
る平面に垂直に延びる平面に存在する。
【0028】 図6および図8の両図を参照すると、上述したガス洗浄技術を用いている間、
クリーンガスマニホールド104に入るクリーンガスは2つのガスの流れに分か
れ、そのうちの1つは共通の開口部168に入り、残りのガスの流れは導管11
6に沿って進み中央の貫通路52を通過する。共通の開口部168に入るガスの
流れは再度二又に分かれ、そのうちの1つのガスの流れは第2の貫通路164を
通り、残りは第2の貫通路166を通って進む。第2の貫通路164と166を
通って進むガスの流れは、164aと166aから出て、中央線70cに対して
正接方向に延び、さらにオリフィス56を出るガスの流れに垂直に延びる。この
ようにして、プロセスチャンバ16にクリーンガスの非対称的な流れが形成され
る。図8および図9に示されているように、オリフィス56から出るガスの流れ
は、方向Aに沿って進む。オリフィス164aと166aから出るガスの流れは
、それぞれ方向Bと方向Cに沿って進む。これにより、チャンバ内にガスの非対
称的な流れができ、その中に乱流を生じさせる。クリーンガスの流れを直接環状
の凹部分70内に向けることと、非対称的な流れによって生じた乱流によって、
プロセスチャンバ16を洗浄するのに必要な時間が30秒ほど短くなる。
【0029】 上述したクリーンガスをプロセスチャンバ16から一掃した後、第2のクリー
ンガスはプロセスチャンバ16内に導入され、前のクリーンガスとプロセスチャ
ンバ16の内面とが反応して生じたプロセスチャンバ内に存在するあらゆる洗浄
残留物を除去する。プロセスチャンバから洗浄残留物を除去またはゲッタリング
することによって、多くの利点が生じる。例えば、プロセスチャンバ16内にフ
ッ素ラジカルが送られて、プロセスチャンバから噴出されたフッ化シリコンガス
生成物を形成することによって、酸化シリコン等の残留物を除去する。フッ素ベ
ースのプロセスチャンバ洗浄処理後、プロセスチャンバ壁137の表面上にある
あらゆる吸着されたフッ素がゲッタリングされ、そうしなければ次に処理される
ウェーハ上の堆積膜と相互作用するかもしくは混合されてしまう。代替実施形態
では、プロセスチャンバ16に酸化膜を堆積させるためにマイクロ波発生原子水
素やシリンコン源を用いてプロセスチャンバをシーズニングすることによりゲッ
タリングが実行されて、あらゆる吸着されたフッ素をとらえ、それに続く堆積膜
への汚染を防ぐ。
【0030】 図10を参照すると、代替実施形態において、第2の貫通路264と266は
、基板246に形成されているため、オリフィス264aと266aは基板27
0aに形成されている。このように、各オリフィス264aと266aの断面は
楕円形状である。オリフィス264aと266aはそれぞれ中心軸270c上に
あるため、楕円形の断面の主軸(図示せず)はそれと重なるものとなる。
【0031】 最後に、第2の貫通路364の中心線364bは、図11により明確に示され
ているように、中心軸370cに垂直な方向に沿って延びている。このように、
オリフィス364aの断面は円形状となり、そこから出るガスの流れは、中心軸
370cとオリフィス56から出るガスの流れの両方とを横断するように延びる
。したがって、本発明の範囲は、上述した記載を参照して決定されるものではな
く、添付の請求の範囲を参照しそれと同等の全範囲によって決定されるべきもの
である。
【図面の簡単な説明】
【図1】 本発明によるCVD装置の分解斜視図である。
【図2】 ガス分配装置部分を示したもので、図1に示すCVD装置のリッドアセンブリ
の平面図である。
【図3】 線3−3に沿って切り取った図2に示すリッドの断面図である。
【図4】 図2に示すリッドアセンブリの底面図である。
【図5】 ガス分配アセンブリを取り付けたもので、図1に示すリッドアセンブリの部分
的に切り取った平面図である。
【図6】 線6−6に沿って切り取った図1に示すCVD装置の拡大断面図である。
【図7】 クリーンルームに配置されたガス供給パネルに関する図1に示すCVD装置の
平面図である。
【図8】 図6に示すリッドアセンブリのもので、部分的に断面で示した底面図である。
【図9】 図2に示すリッドアセンブリの側面図である。
【図10】 代替実施形態による図8に示したリッドアセンブリのもので、部分的に断面で
示した底面図である。
【図11】 第2の代替実施形態による図8に示したリッドアセンブリのもので、部分的に
断面で示した底面図である。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 イー, エリ アメリカ合衆国, カリフォルニア州, ミルブレー, シャムロック コート 12 (72)発明者 ファン, ツァン アメリカ合衆国, カリフォルニア州, サン ノゼ, シッスルウッド コート 1350

Claims (20)

    【特許請求の範囲】
  1. 【請求項1】 プロセスチャンバを収容するエンクロージャーを有するタイ
    プの気相堆積装置用リッドアセンブリであって、 対向する第1の主要面および第2の主要面を有する基板において、前記第1の
    主要面はそこに設けられた複数の開口部を有し、前記第2の主要面はリッドが閉
    位置にあるときに前記プロセスチャンバと対面するように向けられ、そこに設け
    られた複数のオリフィスを有し、各オリフィスは平面にあって前記複数の開口部
    のうちの1つの開口部と流通状態にあり、前記複数のオリフィスの部分集合は第
    1の面にあり、残りのオリフィスは前記第1の面を横切る方向に延びる第2の面
    にある、前記基板を含む、リッドアセンブリ。
  2. 【請求項2】 前記第2の面は前記第2の主要面に平行に延びる、請求項1
    に記載のリッドアセンブリ。
  3. 【請求項3】 前記第2の主要面は、基面と、前記第1の主要面から離れて
    前記基面に横断するように基面から延びて、その間に環状の開口部を画定する、
    間隔をとって離された2つの側面とを画定する環状の凹部分を含み、前記部分集
    合の前記複数のオリフィスのうちの1つは前記側面のうちの1つの面に設けられ
    た、請求項1に記載のリッドアセンブリ。
  4. 【請求項4】 前記部分集合の前記複数のオリフィスのうちの1つは楕円状
    の断面を有する、請求項1に記載のリッドアセンブリ。
  5. 【請求項5】 前記部分集合の前記複数のオリフィスのうちの1つは円形状
    の断面を有する、請求項1に記載のリッドアセンブリ。
  6. 【請求項6】 前記部分集合のオリフィスと関連する前記貫通路の下位群は
    、共通の開口部から延びる、請求項1に記載のリッドアセンブリ。
  7. 【請求項7】 前記部分集合のオリフィスと関連する前記貫通路の下位群は
    共通の開口部から延び、前記下位群の各貫通路は前記下位群の残りの貫通路に対
    して垂直である、請求項1に記載のリッドアセンブリ。
  8. 【請求項8】 ガス供給源と、1つの吸気孔と複数の排気孔を有する導管と
    をさらに備え、前記吸気孔は前記ガス供給源に結合され、部分集合が前記吸気孔
    に近接して配置された前記複数の排気孔の1つの孔は、前記オリフィスの部分集
    合と関連する開口部に結合され、残りの排気孔は前記吸気孔から離れた位置に設
    けられ、前記残りのオリフィスと関連する開口部と結合される、請求項1に記載
    のリッドアセンブリ。
  9. 【請求項9】 前記基面は前記残りのオリフィスの1つの周りに半径方向に
    対称に延び、前記部分集合の前記複数のオリフィスの前記1つは、そこから出る
    前記流体の流れが前記中心線の正接方向に延びるように、前記側壁内に配置され
    る、請求項3に記載のリッドアセンブリ。
  10. 【請求項10】 プロセスチャンバを収容するエンクロージャーを有するタ
    イプの気相堆積装置用リッドアセンブリであって、 対向する第1の主要面と第2の主要面を有する基板において、第1の貫通路と
    第2の貫通路はそれらの間に延び、前記第2の主要面の一部は切取面にあり、前
    記第2の主要面の残りの部分には凹部分が設けられ、中央の凹部分と環状の凹部
    分を画定し、前記環状の凹部分は、基面と、前記基面から延びて前記切取面に近
    接して終わる、間隔を取って離された2つの側面とを有し、前記第1の貫通路と
    前記第2の貫通路はそれぞれ開口部から延びオリフィスで終わり、第2の貫通路
    と関連するオリフィスは前記側面の1つに配置され、前記第1の貫通路と関連す
    るオリフィスは前記中央の凹部分に配置される、前記基板を含む、リッドアセン
    ブリ。
  11. 【請求項11】 前記基面は、前記残りのオリフィスの1つの周りに半径方
    向に対称に伸びる中心線を有し、前記部分集合の前記複数のオリフィスの前記1
    つは、そこから出る前記流体の流れが前記中心線の正接方向に延びるように、前
    記側壁内に配置される、請求項10に記載のリッドアセンブリ。
  12. 【請求項12】 前記第2の貫通路と関連する前記オリフィスは、楕円状の
    断面を有する、請求項10に記載のリッドアセンブリ。
  13. 【請求項13】 前記第2の貫通路と関連する前記オリフィスは、円形状の
    断面を有する、請求項10に記載のリッドアセンブリ。
  14. 【請求項14】 前記第2の貫通路は2つの貫通路からなり、そのうちの1
    つの貫通路は第1の方向に延び、残りの貫通路は前記第1の方向に垂直な第2の
    方向に沿って延びる、請求項10に記載のリッドアセンブリ。
  15. 【請求項15】 ガス供給源と、第3の方向に沿って延び、1つの吸気孔と
    複数の排気孔を有する導管とをさらに備え、前記吸気孔は前記ガス供給源に結合
    され、前記複数の排気孔のうちの1つの孔は、前記吸気孔に近接して配置されて
    、前記2つの貫通路に結合され、前記第1および第2の方向は前記第3の方向に
    対して傾斜している、請求項13に記載のリッドアセンブリ。
  16. 【請求項16】 プロセスチャンバを収容するエンクロージャーとそれに取
    りつけられたガス供給源とを有するタイプの気相堆積装置用リッドアセンブリで
    あって、 対向する主要面と1つの第1の貫通路と2つの第2の貫通路とを有する基板と
    、1つの吸気孔と複数の排気孔を有する導管において、前記第1の貫通路と前記
    第2の貫通路はそれぞれ前記対向する主要面を流通状態におき、前記吸気孔は前
    記ガス供給源に結合され、前記複数の排気孔のうちの1つは前記第1の貫通路に
    結合され、残りの複数の排気孔は前記第2の貫通路に結合され、前記第1の貫通
    路と前記第2の貫通路は、前記第2の主要面から出る前記ガスの2つの流体の流
    れが2つの横方向で供されるように配置される、前記基板と前記導管とを含む、
    リッドアセンブリ。
  17. 【請求項17】 前記第1の貫通路と前記第2の貫通路は、前記第2の主要
    面から出た前記ガスの複数の流体の流れが3つの横方向で供されるように配置さ
    れる、請求項16に記載のリッドアセンブリ。
  18. 【請求項18】 前記第1の貫通路と前記第2の貫通路は、前記第2の主要
    面から出た前記ガスの複数の流体の流れが2つの交差する方向で供されるように
    配置される、請求項16に記載のリッドアセンブリ。
  19. 【請求項19】 前記第2の貫通路は前記第2の主要面のオリフィスから前
    記第1の主要面の方向へと延び、前記第1の貫通路は前記第2の主要面のボアホ
    ールから前記第1の主要面の方向へと延び、前記第2の主要面は、基面と、前記
    第1の主要面から離れて前記基面に横断するように基面から延びて、その間に環
    状の開口部を画定する、間隔をとって離された2つの側面とを画定する環状の凹
    部分を含み、前記第2の貫通路のオリフィスは前記側面のうちの1つに設けられ
    ている、請求項16に記載のリッドアセンブリ。
  20. 【請求項20】 前記基面は前記ボアホールの周りに半径方向に対称に延び
    る中心線を有し、前記第2の貫通路と関連する両方のオリフィスは、流体の流れ
    がそこから出て前記中心線の正接方向に進むように、前記側面のうちの1つの面
    内に配置される、請求項19に記載のリッドアセンブリ。
JP2000517126A 1997-10-17 1998-08-14 非対称の流動形状を用いるプロセスチャンバ用リッドアセンブリ Expired - Fee Related JP4335438B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/953,444 1997-10-17
US08/953,444 US6110556A (en) 1997-10-17 1997-10-17 Lid assembly for a process chamber employing asymmetric flow geometries
PCT/US1998/016904 WO1999020811A1 (en) 1997-10-17 1998-08-14 Lid assembly for a process chamber employing asymmetric flow geometries

Publications (2)

Publication Number Publication Date
JP2001520321A true JP2001520321A (ja) 2001-10-30
JP4335438B2 JP4335438B2 (ja) 2009-09-30

Family

ID=25494007

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000517126A Expired - Fee Related JP4335438B2 (ja) 1997-10-17 1998-08-14 非対称の流動形状を用いるプロセスチャンバ用リッドアセンブリ

Country Status (3)

Country Link
US (1) US6110556A (ja)
JP (1) JP4335438B2 (ja)
WO (1) WO1999020811A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008218877A (ja) * 2007-03-07 2008-09-18 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法

Families Citing this family (115)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6358323B1 (en) * 1998-07-21 2002-03-19 Applied Materials, Inc. Method and apparatus for improved control of process and purge material in a substrate processing system
US6228208B1 (en) * 1998-08-12 2001-05-08 Applied Materials, Inc. Plasma density and etch rate enhancing semiconductor processing chamber
TW469534B (en) * 1999-02-23 2001-12-21 Matsushita Electric Ind Co Ltd Plasma processing method and apparatus
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6495233B1 (en) * 1999-07-09 2002-12-17 Applied Materials, Inc. Apparatus for distributing gases in a chemical vapor deposition system
JP3366301B2 (ja) * 1999-11-10 2003-01-14 日本電気株式会社 プラズマcvd装置
US6537419B1 (en) * 2000-04-26 2003-03-25 David W. Kinnard Gas distribution plate assembly for providing laminar gas flow across the surface of a substrate
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US6719851B1 (en) * 2000-09-26 2004-04-13 Applied Materials, Inc. Lid assembly for opening a process chamber lid and uses therefor
US6472326B1 (en) * 2000-10-02 2002-10-29 Advanced Micro Devices, Inc. Reliable particle removal following a process chamber wet clean
US6825447B2 (en) * 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6660126B2 (en) * 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6734020B2 (en) 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US6818096B2 (en) * 2001-04-12 2004-11-16 Michael Barnes Plasma reactor electrode
JP4050483B2 (ja) * 2001-05-14 2008-02-20 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
KR100400044B1 (ko) * 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
US7638161B2 (en) * 2001-07-20 2009-12-29 Applied Materials, Inc. Method and apparatus for controlling dopant concentration during BPSG film deposition to reduce nitride consumption
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20050208215A1 (en) * 2002-06-14 2005-09-22 Yuji Eguchi Oxide film forming method and oxide film forming apparatus
US6830664B2 (en) * 2002-08-05 2004-12-14 Tegal Corporation Cluster tool with a hollow cathode array
TW200405413A (en) * 2002-08-05 2004-04-01 Sumitomo Precision Prod Co Ozone processing apparatus
US20040052969A1 (en) * 2002-09-16 2004-03-18 Applied Materials, Inc. Methods for operating a chemical vapor deposition chamber using a heated gas distribution plate
US6946033B2 (en) * 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
DE10345824A1 (de) * 2003-09-30 2005-05-04 Infineon Technologies Ag Anordnung zur Abscheidung von atomaren Schichten auf Substraten
US20050220568A1 (en) * 2004-03-31 2005-10-06 Tokyo Electron Limited Method and system for fastening components used in plasma processing
US20050221618A1 (en) * 2004-03-31 2005-10-06 Amrhein Frederick J System for controlling a plenum output flow geometry
US8540843B2 (en) 2004-06-30 2013-09-24 Lam Research Corporation Plasma chamber top piece assembly
US7780791B2 (en) * 2004-06-30 2010-08-24 Lam Research Corporation Apparatus for an optimized plasma chamber top piece
US9520276B2 (en) 2005-06-22 2016-12-13 Tokyo Electron Limited Electrode assembly and plasma processing apparatus
US20060288934A1 (en) * 2005-06-22 2006-12-28 Tokyo Electron Limited Electrode assembly and plasma processing apparatus
KR100599056B1 (ko) * 2005-07-21 2006-07-12 삼성전자주식회사 포토레지스트 제거 장치 및 방법
GB0522088D0 (en) * 2005-10-28 2005-12-07 Boc Group Plc Plasma abatement device
CN101351871B (zh) * 2005-11-02 2010-08-18 松下电器产业株式会社 等离子体处理装置
US8216374B2 (en) * 2005-12-22 2012-07-10 Applied Materials, Inc. Gas coupler for substrate processing chamber
US20070163716A1 (en) * 2006-01-19 2007-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Gas distribution apparatuses and methods for controlling gas distribution apparatuses
US8440049B2 (en) 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US8475625B2 (en) * 2006-05-03 2013-07-02 Applied Materials, Inc. Apparatus for etching high aspect ratio features
JP4928893B2 (ja) * 2006-10-03 2012-05-09 株式会社日立ハイテクノロジーズ プラズマエッチング方法。
TW200830941A (en) * 2007-01-15 2008-07-16 Jehara Corp Plasma generating apparatus
US20090096349A1 (en) * 2007-04-26 2009-04-16 Moshtagh Vahid S Cross flow cvd reactor
US8216419B2 (en) * 2008-03-28 2012-07-10 Bridgelux, Inc. Drilled CVD shower head
US8668775B2 (en) * 2007-10-31 2014-03-11 Toshiba Techno Center Inc. Machine CVD shower head
US20090236214A1 (en) * 2008-03-20 2009-09-24 Karthik Janakiraman Tunable ground planes in plasma chambers
US8206506B2 (en) * 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8161906B2 (en) 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
CN101315880B (zh) * 2008-07-17 2010-06-02 北京北方微电子基地设备工艺研究中心有限责任公司 一种气体分配装置及采用该气体分配装置的等离子体处理设备
US8402918B2 (en) * 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
JP5710591B2 (ja) * 2009-04-20 2015-04-30 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プロセスチャンバ壁上にシリコンコーティングを使用した残留フッ素ラジカルの除去の促進
TWM412457U (en) * 2009-09-18 2011-09-21 Lam Res Corp Showerhead electrode for use in a plasma reaction chamber and showerhead electrode assembly
WO2011044451A2 (en) * 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
KR200464037Y1 (ko) * 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US8470127B2 (en) 2011-01-06 2013-06-25 Lam Research Corporation Cam-locked showerhead electrode and assembly
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
TWI467625B (zh) * 2012-08-30 2015-01-01 Univ Chang Gung 電漿處理裝置
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
JP2014082354A (ja) * 2012-10-17 2014-05-08 Hitachi High-Technologies Corp プラズマ処理装置
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10039157B2 (en) * 2014-06-02 2018-07-31 Applied Materials, Inc. Workpiece processing chamber having a rotary microwave plasma source
US10269541B2 (en) 2014-06-02 2019-04-23 Applied Materials, Inc. Workpiece processing chamber having a thermal controlled microwave window
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
KR20170048787A (ko) * 2015-10-27 2017-05-10 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
WO2018187679A1 (en) 2017-04-07 2018-10-11 Applied Materials, Inc. Plasma density control on substrate edge
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11222769B2 (en) 2017-05-26 2022-01-11 Applied Materials, Inc. Monopole antenna array source with gas supply or grid filter for semiconductor process equipment
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10697062B2 (en) 2018-07-11 2020-06-30 Applied Materials, Inc. Gas flow guide design for uniform flow distribution and efficient purge
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) * 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11220093B2 (en) * 2019-08-27 2022-01-11 Rockwell Collins, Inc. Clam shell lamination system
JP7472272B2 (ja) * 2019-09-10 2024-04-22 アプライド マテリアルズ インコーポレイテッド 蒸気供給方法および装置
DE102020112568A1 (de) * 2020-02-14 2021-08-19 AIXTRON Ltd. Gaseinlassorgan für einen CVD-Reaktor
US20230011938A1 (en) * 2021-07-09 2023-01-12 Applied Materials, Inc. Shaped showerhead for edge plasma modulation

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3934060A (en) * 1973-12-19 1976-01-20 Motorola, Inc. Method for forming a deposited silicon dioxide layer on a semiconductor wafer
US4002512A (en) * 1974-09-16 1977-01-11 Western Electric Company, Inc. Method of forming silicon dioxide
JPS6060060A (ja) * 1983-09-12 1985-04-06 株式会社日立製作所 鉄道車両の扉開閉装置
US4567938A (en) * 1984-05-02 1986-02-04 Varian Associates, Inc. Method and apparatus for controlling thermal transfer in a cyclic vacuum processing system
JPS6179773A (ja) * 1984-09-27 1986-04-23 Fujitsu Ltd Cvd装置
US4695700A (en) * 1984-10-22 1987-09-22 Texas Instruments Incorporated Dual detector system for determining endpoint of plasma etch process
US4872947A (en) * 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
ES2081806T3 (es) * 1987-06-26 1996-03-16 Applied Materials Inc Procedimiento de autolimpieza de una camara de reactor.
US5356476A (en) * 1992-06-15 1994-10-18 Materials Research Corporation Semiconductor wafer processing method and apparatus with heat and gas flow control
US5800686A (en) * 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
US5403459A (en) * 1993-05-17 1995-04-04 Applied Materials, Inc. Cleaning of a PVD chamber containing a collimator
JPH07176484A (ja) * 1993-06-28 1995-07-14 Applied Materials Inc 窒化アルミニューム面を有するサセプタをサセプタの浄化後珪化タングステンで処理することによって半導体ウエハ上に珪化タングステンを一様に堆積する方法
US5545289A (en) * 1994-02-03 1996-08-13 Applied Materials, Inc. Passivating, stripping and corrosion inhibition of semiconductor substrates
US5551982A (en) * 1994-03-31 1996-09-03 Applied Materials, Inc. Semiconductor wafer process chamber with susceptor back coating
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5885356A (en) * 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
US6019848A (en) * 1996-11-13 2000-02-01 Applied Materials, Inc. Lid assembly for high temperature processing chamber

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008218877A (ja) * 2007-03-07 2008-09-18 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法

Also Published As

Publication number Publication date
WO1999020811A1 (en) 1999-04-29
US6110556A (en) 2000-08-29
JP4335438B2 (ja) 2009-09-30

Similar Documents

Publication Publication Date Title
JP2001520321A (ja) 非対称の流動形状を用いるプロセスチャンバ用リッドアセンブリ
US5895530A (en) Method and apparatus for directing fluid through a semiconductor processing chamber
US7829145B2 (en) Methods of uniformity control for low flow process and chamber to chamber matching
US7572337B2 (en) Blocker plate bypass to distribute gases in a chemical vapor deposition system
US7452827B2 (en) Gas distribution showerhead featuring exhaust apertures
US5500256A (en) Dry process apparatus using plural kinds of gas
US7862683B2 (en) Chamber dry cleaning
US20040118519A1 (en) Blocker plate bypass design to improve clean rate at the edge of the chamber
KR100954257B1 (ko) 고밀도 플라즈마 용도를 위한 고진공하의 자체-냉각식 가스전달 장치
US6271148B1 (en) Method for improved remote microwave plasma source for use with substrate processing system
US6495233B1 (en) Apparatus for distributing gases in a chemical vapor deposition system
US6117244A (en) Deposition resistant lining for CVD chamber
US20020072164A1 (en) Processing chamber with multi-layer brazed lid
WO2004102650A1 (ja) プラズマ処理装置
KR100316670B1 (ko) 기판처리장치
US6436303B1 (en) Film removal employing a remote plasma source
US20230402261A1 (en) Uniform in situ cleaning and deposition
JP2004006551A (ja) 基板処理装置および基板処理方法
JP2669168B2 (ja) マイクロ波プラズマ処理装置
TWI844439B (zh) 均勻的原位清洗及沉積
KR20040088948A (ko) Rps 교체용 분리 밸브를 가지는 cvd 장치
JPH11345801A (ja) 真空処理装置
KR20240046593A (ko) 클램핑된 듀얼-채널 샤워헤드
JP2000082699A (ja) エッチング処理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050801

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080729

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20081029

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20081106

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081128

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090616

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090625

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120703

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120703

Year of fee payment: 3

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

LAPS Cancellation because of no payment of annual fees