CN110321640A - A kind of domain DRC processing method of integrated circuit conversion process - Google Patents

A kind of domain DRC processing method of integrated circuit conversion process Download PDF

Info

Publication number
CN110321640A
CN110321640A CN201910607637.XA CN201910607637A CN110321640A CN 110321640 A CN110321640 A CN 110321640A CN 201910607637 A CN201910607637 A CN 201910607637A CN 110321640 A CN110321640 A CN 110321640A
Authority
CN
China
Prior art keywords
drc
technique
gds file
layer set
cell
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201910607637.XA
Other languages
Chinese (zh)
Inventor
陈宏冰
姜志强
余有勇
陶磊
李小辉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sichuan Changhong Electric Co Ltd
Original Assignee
Sichuan Changhong Electric Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sichuan Changhong Electric Co Ltd filed Critical Sichuan Changhong Electric Co Ltd
Priority to CN201910607637.XA priority Critical patent/CN110321640A/en
Publication of CN110321640A publication Critical patent/CN110321640A/en
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Architecture (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

The invention discloses a kind of domain DRC processing methods of integrated circuit conversion process, comprising: the territory unit that the design library of A. export A technique needs to modify to GDS file X checks GDS file X using the DRC inspection of B technique;B. the layer set C for meeting inspection in GDS file X and ungratified layer set D are filtered out;C. the design library for creating B technique, imported into the CELL E in the design library of B technique for the layer set C in the GDS file X;D. the layer set D to the DRC for meeting B technique adjusted in GDS file X is checked, and is output to GDS file Y;Method of the invention can handle the domain DRC problem of integrated circuit conversion manufacturing process with mass, avoid repainting domain or one by one artificial treatment DRC, working efficiency is greatly improved.

Description

A kind of domain DRC processing method of integrated circuit conversion process
Technical field
The present invention relates to integrated circuit back-end design field, in particular to a kind of domain of integrated circuit conversion process DRC processing method.
Background technique
In hybrid digital-analog integrated circuit design process, considers to reduce digital circuit power consumption and area etc., can encounter It needs ready-made entire design being transformed into the problem of being redesigned in smaller characteristic size technique.In general, digital section The design for dividing domain that can be quickly completed conversion process by APR, but the domain modification after analog portion domain conversion process Become extremely complex, hundreds of DRC problem can be encountered, but is all often some simple spacing and line width problem.It can be with Again simulation layout design is carried out with B technique, or modified one by one for DRC problem, but both methods requires It takes a significant amount of time and energy, is unable to complete in a short time.
Summary of the invention
It is insufficient in above-mentioned background technique the purpose of the present invention is overcoming, a kind of domain of integrated circuit conversion process is provided DRC processing method can be handled the domain DRC problem of integrated circuit conversion manufacturing process with mass, avoid repainting domain Or artificial treatment DRC one by one, working efficiency is greatly improved.
In order to reach above-mentioned technical effect, the present invention takes following technical scheme:
A kind of domain DRC processing method of integrated circuit conversion process, comprising the following steps:
A. the design library for exporting A technique needs the territory unit modified to GDS file (graph data stream file) X, uses B The DRC inspection of technique is designed regular inspection to GDS file X;
B. the layer set C for meeting DRC inspection in GDS file X and the layer set D for being unsatisfactory for DRC inspection are filtered out;
C. the design library for creating B technique, the layer set C in the GDS file X is imported into the design library of B technique CELL E;
D. by grammer operation, the layer set D in GDS file X is adjusted, the DRC for being allowed to meet B technique is checked, and defeated Layer set D adjusted to GDS file Y out;
E. the data in GDS file Y are read in the CELL F in the design library of B technique;
F. merging CELL E and CELL F in the design library of B technique is CELL G;
G. the DRC for executing B technique of the CELL G in the design library of B technique is checked, the process flow if meeting DRC and checking Terminate.
Further, in the step G further include: return step D continues to adjust if being unsatisfactory for DRC and checking, until full Until sufficient DRC is checked.
Compared with prior art, the present invention have it is below the utility model has the advantages that
The domain DRC processing method of integrated circuit conversion process of the invention can handle integrated circuit conversion with mass The domain DRC problem of manufacturing process, avoids repainting domain or one by one artificial treatment DRC, working efficiency can be greatly improved.
Detailed description of the invention
Fig. 1 is the flow diagram of the domain DRC processing method of integrated circuit conversion process of the invention.
Fig. 2 is the schematic diagram that the layer in one embodiment of the present of invention for DRC mistake processes.
Specific embodiment
Below with reference to the embodiment of the present invention, the invention will be further elaborated.
Embodiment:
Embodiment one:
As shown in Figure 1, a kind of domain DRC processing method of integrated circuit conversion process, comprising the following steps:
Step 1: the territory unit that the design library of export A technique needs to modify to GDS file (graph data stream file) X, Regular inspection is designed to GDS file X using the DRC inspection of B technique;
Step 2: the layer set C for meeting DRC inspection in GDS file X and the layer for being unsatisfactory for DRC inspection are filtered out Set D;
Step 3: the layer set C in the GDS file X is imported into setting for B technique by the design library of newly-built B technique Count the CELL E in library;
Step 4: by grammer operation, adjusting the layer set D in GDS file X, is allowed to meet the DRC inspection of B technique It looks into, and the layer set D to GDS file Y after output adjustment;
Specifically, as shown in Fig. 2, there are following errors: fixed by the contact in layer set D in the present embodiment Contact size is 0.16um, the contact size in domain are 0.22um, will be especially by script in this this method Contact size diminution 0.03 is obtained into modified layer contact, size 0.16um.Similarly by layer set D In other layer do similar processing, be allowed to meet DRC requirement, be then output to GDS file Y.
Specifically, for realizing the core code of the script of automatic batchization processing figure layer in the present embodiment are as follows:
LAYOUT PRIMARY"test1"
LAYOUT PATH"test1.gds"
LAYOUT SYSTEM GDSII
TEXT DEPTH PRIMARY//1
DRC RESULTS DATABASE"test_dummy.gds"GDSII_grow USER MERGED
DRC SUMMARY REPORT"calibre_drc.rep"
DRC MAXIMUM RESULTS ALL
DRC INCREMENTAL CONNECT YES
DRC MAP TEXT YES
MASK RESULTS DATABASE"mask.db"
PRECISION 1000
RESOLUTION 5
UNIT CAPACITANCE F
UNIT RESISTANCE OHM
UNIT LENGTH U
Layer CT 50 0
CT_1=size CT by-0.03
CT_print{
Copy CT_1
}
Drc check map CT_print GDSII 50MAXIMUM RESULTS ALL
Meanwhile it is above-mentioned for directlying adopt existing grammer operation to the layer set D grammer operation being adjusted It realizes, the grammer operation used in the present embodiment specifically: calibre SVRF.
Step 5: the data in GDS file Y are read in the CELL F in the design library of B technique;
Step 6: merging CELL E and CELL F in the design library of B technique is CELL G;
Step 7: the DRC for executing B technique of the CELL G in the design library of B technique is checked, if meeting DRC and checking Reason process terminates;Return step four continues to adjust if being unsatisfactory for DRC and checking, until meeting DRC and checking.
In summary, the domain processing method of integrated circuit conversion process of the present invention, by domain number to be treated Classification is done according to level to import, and is first imported correct level, then after the level that there are problems that DRC is done mass processing with script It reads in again, all levels for finally merging two set complete treatment process.It can effectively improve working efficiency to avoid one by one Modification DRC mistake repaints domain.
It is understood that the principle that embodiment of above is intended to be merely illustrative of the present and the exemplary implementation that uses Mode, however the present invention is not limited thereto.For those skilled in the art, essence of the invention is not being departed from In the case where mind and essence, various changes and modifications can be made therein, these variations and modifications are also considered as protection scope of the present invention.

Claims (2)

1. a kind of domain DRC processing method of integrated circuit conversion process, which comprises the following steps:
A. the territory unit that the design library of export A technique needs to modify is checked using the DRC of B technique to the GDS to GDS file X File X is designed regular inspection;
B. the layer set C for meeting DRC inspection in GDS file X and the layer set D for being unsatisfactory for DRC inspection are filtered out;
C. the design library for creating B technique, the layer set C in the GDS file X is imported into the design library of B technique CELL E;
D. by grammer operation, the layer set D in GDS file X is adjusted, the DRC for being allowed to meet B technique is checked, and exports tune Layer set D to GDS file Y after whole;
E. the data in GDS file Y are read in the CELL F in the design library of B technique;
F. merging CELL E and CELL F in the design library of B technique is CELL G;
G. the DRC for executing B technique of the CELL G in the design library of B technique is checked, the process flow knot if meeting DRC and checking Beam.
2. a kind of domain DRC processing method of integrated circuit conversion process according to claim 1, which is characterized in that institute It states in step G further include: return step D continues to adjust if being unsatisfactory for DRC and checking, until meeting DRC and checking.
CN201910607637.XA 2019-07-05 2019-07-05 A kind of domain DRC processing method of integrated circuit conversion process Pending CN110321640A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201910607637.XA CN110321640A (en) 2019-07-05 2019-07-05 A kind of domain DRC processing method of integrated circuit conversion process

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201910607637.XA CN110321640A (en) 2019-07-05 2019-07-05 A kind of domain DRC processing method of integrated circuit conversion process

Publications (1)

Publication Number Publication Date
CN110321640A true CN110321640A (en) 2019-10-11

Family

ID=68122981

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201910607637.XA Pending CN110321640A (en) 2019-07-05 2019-07-05 A kind of domain DRC processing method of integrated circuit conversion process

Country Status (1)

Country Link
CN (1) CN110321640A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113792525A (en) * 2021-11-16 2021-12-14 北京芯愿景软件技术股份有限公司 Integrated circuit layout design method, device and equipment
CN116757145A (en) * 2023-08-16 2023-09-15 合肥奎芯集成电路设计有限公司 Integrated circuit design layout processing method and device, electronic equipment and storage medium

Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101071272A (en) * 2006-05-10 2007-11-14 中芯国际集成电路制造(上海)有限公司 Method for seeking target area for integrated circuit layout design
US20070283307A1 (en) * 2006-05-31 2007-12-06 Kabushiki Kaisha Toshiba Layout making equipment of semiconductor integrated circuit, method of making layout of semiconductor integrated circuit and process of manufacture of semiconductor device
CN102446230A (en) * 2010-10-11 2012-05-09 上海华虹Nec电子有限公司 Method for merging GDSII layout data
CN102760651A (en) * 2012-07-26 2012-10-31 上海宏力半导体制造有限公司 Layout logic-operation method for vertical and shallow injection layers and integrated circuit manufacturing method
CN102760650A (en) * 2012-07-26 2012-10-31 上海宏力半导体制造有限公司 Layout logical operation method and integrated circuit manufacturing method
CN103268375A (en) * 2013-05-08 2013-08-28 中国科学院微电子研究所 Checking and verifying method for layout design rule of standard cell library
CN104424056A (en) * 2013-08-19 2015-03-18 上海华虹宏力半导体制造有限公司 Layer check method for layout data
CN105426556A (en) * 2014-09-19 2016-03-23 北京华大九天软件有限公司 Visualization analysis method for image layer relation in layout design rule file
CN105574246A (en) * 2015-12-14 2016-05-11 上海华虹宏力半导体制造有限公司 IP module merging method of layout
CN106980518A (en) * 2017-03-23 2017-07-25 成都锐成芯微科技股份有限公司 The method that technological design files in batch is checked
CN107784146A (en) * 2016-08-31 2018-03-09 中芯国际集成电路制造(上海)有限公司 Critical path emulation mode
CN108121830A (en) * 2016-11-28 2018-06-05 深圳市中兴微电子技术有限公司 A kind of manufacturing method of chip and its device
CN108256220A (en) * 2018-01-18 2018-07-06 上海华虹宏力半导体制造有限公司 A kind of method for optimizing layout data process flow
CN109684707A (en) * 2018-12-19 2019-04-26 上海华力微电子有限公司 A kind of standard cell lib layout design rules verification method
CN109885888A (en) * 2019-01-23 2019-06-14 上海华虹宏力半导体制造有限公司 Chip layout design rule check method

Patent Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101071272A (en) * 2006-05-10 2007-11-14 中芯国际集成电路制造(上海)有限公司 Method for seeking target area for integrated circuit layout design
US20070283307A1 (en) * 2006-05-31 2007-12-06 Kabushiki Kaisha Toshiba Layout making equipment of semiconductor integrated circuit, method of making layout of semiconductor integrated circuit and process of manufacture of semiconductor device
CN102446230A (en) * 2010-10-11 2012-05-09 上海华虹Nec电子有限公司 Method for merging GDSII layout data
CN102760651A (en) * 2012-07-26 2012-10-31 上海宏力半导体制造有限公司 Layout logic-operation method for vertical and shallow injection layers and integrated circuit manufacturing method
CN102760650A (en) * 2012-07-26 2012-10-31 上海宏力半导体制造有限公司 Layout logical operation method and integrated circuit manufacturing method
CN103268375A (en) * 2013-05-08 2013-08-28 中国科学院微电子研究所 Checking and verifying method for layout design rule of standard cell library
CN104424056A (en) * 2013-08-19 2015-03-18 上海华虹宏力半导体制造有限公司 Layer check method for layout data
CN105426556A (en) * 2014-09-19 2016-03-23 北京华大九天软件有限公司 Visualization analysis method for image layer relation in layout design rule file
CN105574246A (en) * 2015-12-14 2016-05-11 上海华虹宏力半导体制造有限公司 IP module merging method of layout
CN107784146A (en) * 2016-08-31 2018-03-09 中芯国际集成电路制造(上海)有限公司 Critical path emulation mode
CN108121830A (en) * 2016-11-28 2018-06-05 深圳市中兴微电子技术有限公司 A kind of manufacturing method of chip and its device
CN106980518A (en) * 2017-03-23 2017-07-25 成都锐成芯微科技股份有限公司 The method that technological design files in batch is checked
CN108256220A (en) * 2018-01-18 2018-07-06 上海华虹宏力半导体制造有限公司 A kind of method for optimizing layout data process flow
CN109684707A (en) * 2018-12-19 2019-04-26 上海华力微电子有限公司 A kind of standard cell lib layout design rules verification method
CN109885888A (en) * 2019-01-23 2019-06-14 上海华虹宏力半导体制造有限公司 Chip layout design rule check method

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
郭雅琳等: "VLSI版图DRC验证算法的优化", 《微电子学与计算机》 *

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113792525A (en) * 2021-11-16 2021-12-14 北京芯愿景软件技术股份有限公司 Integrated circuit layout design method, device and equipment
CN113792525B (en) * 2021-11-16 2023-02-28 北京芯愿景软件技术股份有限公司 Integrated circuit layout design method, device and equipment
CN116757145A (en) * 2023-08-16 2023-09-15 合肥奎芯集成电路设计有限公司 Integrated circuit design layout processing method and device, electronic equipment and storage medium
CN116757145B (en) * 2023-08-16 2024-04-30 合肥奎芯集成电路设计有限公司 Integrated circuit design layout processing method and device, electronic equipment and storage medium

Similar Documents

Publication Publication Date Title
CN110321640A (en) A kind of domain DRC processing method of integrated circuit conversion process
KR20160013012A (en) Methods for ascertaining a model of a starting variable of a technical system
CN105373703B (en) A kind of adaptive ability test system based on forgetting curve
CN104716903A (en) Automatic segmentation polynomial fitting method applicable to photovoltaic array output characteristic curve
CN108875788A (en) A kind of SVM classifier parameter optimization method based on modified particle swarm optiziation
CN102592201B (en) Method for summarizing rice regional test information rapidly
EP1494245A3 (en) Method and arrangement for determining fresh fuel loading patterns for nuclear reactors
CN106529080A (en) Establishment method for square resistor SPICE (Simulation Program with Integrated Circuit Emphasis) model
CN108959704B (en) Rewarding and punishing weight type simulation sequence similarity analysis method considering morphological change
DE102018116911A1 (en) Method for generating source code
CN102436529A (en) Modeling method for metal oxide semiconductor (MOS) transistor reliability statistics models distributed based on Weibull
CN108427838B (en) Simulation-based digital circuit fault injection method
CN103258082A (en) Optimization method of production parameters for solid ground curing rapid prototyping system
CN105045958A (en) Implementation system and method of GPS (Global Positioning System) elevation fitting on the basis of BP (Back Propagation) neural network
CN116611388A (en) Method for automatically correcting size and spacing of via holes based on scripting language
CN1530664A (en) Circuit analog method
CN107092700A (en) It is a kind of based on the method and device for importing data under big data quantity in batches
CN105488521B (en) A kind of dilatation screening sample method based on kernel function
CN110929467B (en) Tech LEF file verification method and verification system thereof
CN102811445B (en) Method and device for checking configuration data
CN109522595A (en) A kind of functional diagram importing automatic diagnosis method based on nuclear power plant's verification platform
CN109635480B (en) Control logic verification and debugging method based on drawing software
CN115204036A (en) Ionized layer foF2 prediction method and device based on CNN-LSTM and storage medium
CN112217199B (en) Wind power plant wind speed probability distribution fitting method
CN105243188A (en) Automatic screening method for monitoring quantity of information of intelligent transformer substation

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
RJ01 Rejection of invention patent application after publication
RJ01 Rejection of invention patent application after publication

Application publication date: 20191011