CN109065495B - 钨填充凹槽结构中形成不含氟钨金属层的方法 - Google Patents

钨填充凹槽结构中形成不含氟钨金属层的方法 Download PDF

Info

Publication number
CN109065495B
CN109065495B CN201810768408.1A CN201810768408A CN109065495B CN 109065495 B CN109065495 B CN 109065495B CN 201810768408 A CN201810768408 A CN 201810768408A CN 109065495 B CN109065495 B CN 109065495B
Authority
CN
China
Prior art keywords
tungsten
layer
fluorine
metal
groove structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201810768408.1A
Other languages
English (en)
Other versions
CN109065495A (zh
Inventor
鲍宇
张艳燕
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shanghai Huali Microelectronics Corp
Original Assignee
Shanghai Huali Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shanghai Huali Microelectronics Corp filed Critical Shanghai Huali Microelectronics Corp
Priority to CN201810768408.1A priority Critical patent/CN109065495B/zh
Publication of CN109065495A publication Critical patent/CN109065495A/zh
Application granted granted Critical
Publication of CN109065495B publication Critical patent/CN109065495B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76229Concurrent filling of a plurality of trenches having a different trench shape or dimension, e.g. rectangular and V-shaped trenches, wide and narrow trenches, shallow and deep trenches
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明公开了一种钨填充凹槽结构中形成不含氟钨金属层的方法,包括:第一步,形成凹槽结构;第二步,形成氮化钛层;第三步,采用WF6作为钨源在氮化钛层表面形成钨成核层;第四步,采用WF6作为钨源进行CVD工艺淀积钨主体层;第五步,进行钨的第一次化学机械研磨工艺;第六步,对第一次化学机械研磨后钨表面中的氟残留进行氟去除处理,第七步,进行钨的第二次化学机械研磨工艺,将凹槽结构外的所述不含氟的钨金属层和所述氮化钛层去除,形成由填充于所述凹槽结构中的氮化钛层、钨成核层、钨主体层和不含氟的钨金属层组成的钨金属结构。本发明可以去除第一次CMP后钨表面层中残留的氟,阻挡钨内部的氟向介电层中扩散,有利于工艺稳定性的管控。

Description

钨填充凹槽结构中形成不含氟钨金属层的方法
技术领域
本发明涉及半导体集成电路的制造方法,具体涉及一种钨填充凹槽结构中顶部形成不含氟钨金属层的方法。
背景技术
在大多数先进半导体器件的制造过程中广泛采用金属钨的填充工艺,这是因为金属钨具有较低的电阻率,在进行大块高深宽比(high aspect ratio)的沟槽填充时具有较好的保形性以及能填充窄沟槽的特性。例如,金属钨已经应用于逻辑接触、局域金属互联(local interconnect,简称LIC)和金属栅(metal gate,简称MG)的填充工艺中。
现有技术中,钨填充沟槽通常采用如下步骤:
1)形成氮化钛层(TiN),该氮化钛层的厚度为30埃~50埃,氮化钛层即作为粘附在介质层上的粘附层,又作为一个阻挡层阻挡金属钨的CVD淀积工艺中的氟扩散;
2)采用WF6作为钨源再加上硅烷(SiH4)或硼烷(B2H6)进行原子层淀积(ALD)工艺,形成钨成核层(W nucleation layer);
3)进行采用WF6作为钨源的CVD工艺,在所述钨成核层的表面淀积钨主体层。
到现在为止,氮化钛是目前已知的最好的CVD淀积的钨的粘附层以及氟扩散的阻挡层,但是需要在CVD工艺淀积钨主体层之前先形成钨成核层。如果能够实现不含氟的钨(Fluorine-free W,简称FFW)的淀积,那么就可以降低TiN所需要的厚度,甚至可以完全不采用TiN。
发明内容
本发明要解决的技术问题是提供一种钨填充凹槽结构中形成不含氟钨金属层的方法,可以降低凹槽中钨金属结构表面的残留氟含量,阻挡氟扩散。
为解决上述技术问题,本发明提供的钨填充凹槽结构中形成不含氟钨金属层的方法,包括如下步骤:
第一步,形成凹槽结构;
第二步,形成氮化钛层,其作为后续钨填充的粘附层和氟扩散阻挡层;
第三步,采用WF6作为钨源在所述氮化钛层表面形成钨成核层;
第四步,采用WF6作为钨源进行CVD工艺,在所述钨成核层表面淀积钨主体层,并将所述凹槽结构完全填充;
第五步,进行钨的第一次化学机械研磨工艺;
第六步,对第一次化学机械研磨后钨表面中的氟残留进行氟去除处理,
第七步,进行钨的第二次化学机械研磨工艺,将所述凹槽结构外的所述不含氟的钨金属层和所述氮化钛层去除,凹槽结构内的顶部保留一薄层的不含氟的钨金属层,形成由填充于所述凹槽结构中的所述氮化钛层、所述钨成核层、所述钨主体层和所述不含氟的钨金属层组成的钨金属结构。
进一步的,第一步中所述凹槽结构形成于第一介质层中并穿过所述第一介质层,所述第一介质层位于半导体衬底表面,且所述凹槽结构将所述第一介质层底部的所述半导体衬底表面露出。
进一步的,所述钨金属结构为金属栅,被所述钨金属结构所覆盖的所述半导体衬底中形成于沟道区。
进一步的,所述钨金属结构为接触孔,被所述钨金属结构所覆盖的所述半导体衬底中形成有需要被引出的掺杂区,在所述半导体衬底中形成的需要被引出的掺杂区包括N+区或P+区。
进一步的,所述钨金属结构为通孔,所述凹槽结构201穿过位于两层金属层之间的层间膜。
进一步的,第二步中所述氮化钛层形成于所述凹槽结构的内侧表面并延伸到所述凹槽结构外的表面。
进一步的,第三步中采用原子层淀积工艺形成所述钨成核层。优选的,所述原子层淀积工艺所采用的工艺气体为WF6加SiH4或者B2H6
进一步的,第四步中所述钨主体层淀积对应的CVD工艺的气体采用WF6和H2
进一步的,第五步中第一次化学机械研磨将所述凹槽结构外的所述钨主体层、所述钨成核层都去除,并停留在所述氮化钛层上。
进一步的,第五步中第一次化学机械研磨将所述凹槽结构上形成的钨部分去除,在所述凹槽结构外的表面保留一薄层钨,所述薄层钨作为导电层。
进一步的,第六步中所述氟去除处理采用H2等离子体进行处理。
优选的,所述H2等离子体为所述氟去除处理腔体内提供的本地等离子体或者所述氟去除处理腔体外提供的远程等离子体。
优选的,所述H2等离子体的射频功率为10W~800W。
优选的,所述H2等离子体的H2流量为50sccm~5000sccm。
进一步的,第七步中第二次化学机械研磨后,钨金属结构顶部的不含氟的钨金属层的厚度不小于1nm。
本发明在两次化学机械研磨之间,通过氢气等离子体处理工艺在钨金属结构表面形成不含氟的钨金属层,可以去除第一次化学机械研磨后钨表面层中残留的氟,可以阻挡钨内部的氟向介电层中扩散,有利于工艺稳定性的管控。
附图说明
图1为本发明实施例的方法流程图;
图2A~图2G为本发明实施例方法各步骤中的器件结构图。
具体实施方式
下面结合附图与具体实施方式对本发明作进一步详细的说明。
本发明的实施例中钨填充凹槽结构中形成不含氟钨金属层的方法,如图1所示,以用于金属栅MG的钨填充为例进行说明,包括如下步骤:
第一步,如图2A所示,形成凹槽结构201;
所述凹槽结构201形成于第一介质层101中并穿过所述第一介质层101,所述第一介质层101位于半导体衬底表面,且所述凹槽结构201将所述第一介质层101底部的所述半导体衬底表面露出;
第二步,如图2B所示,形成氮化钛层102,氮化钛层102作为后续钨填充的粘附层和氟扩散阻挡层;所述氮化钛层102形成于所述凹槽结构201的内侧表面并延伸到所述凹槽结构201外的表面;所述氮化钛层102的厚度根据后续凹槽结构中形成的钨金属结构中的氟残留量进行设置,所述钨金属结构中的氟残留越低,所述氮化钛层102的厚度越薄,所述钨金属结构的电阻越低;
第三步,如图2C所示,采用WF6作为钨源在所述氮化钛层102表面形成钨成核层103;
较佳的,采用原子层淀积工艺形成所述钨成核层103,所述原子层淀积工艺所采用的工艺气体为WF6加SiH4或者B2H6;本实施例中,采用的工艺气体为WF6和B2H6
第四步,如图2D所示,在钨成核层103上采用WF6作为钨源进行CVD工艺,在所述钨成核层103的表面淀积钨主体层104,并将所述凹槽结构201完全填充;
较佳的,所述钨主体层104淀积对应的CVD工艺的气体采用WF6和H2
第五步,进行钨的第一次化学机械研磨工艺;
在CMP工艺中,将所述凹槽结构201外的所述钨主体层104、所述钨成核层103都去除,停留在所述氮化钛层102上;
或者,在CMP工艺中,将所述凹槽结构201上形成的钨部分去除,在所述凹槽结构201外的表面保留一薄层钨,所述薄层钨的厚度为50埃~200埃,如图2E所示,所述薄层钨可作为导电层,不会产生等离子体诱导损伤(plasma induced damage,简称PID);
第六步,如图2E所示,对第一次化学机械研磨后钨表面中的氟残留进行氟去除处理,氟残留去除后形成不含氟的钨(FFW)金属层105,请参照图2F所示;
较佳的,所述氟去除处理采用H2等离子体进行处理,所述H2等离子体可以用所述氟去除处理腔体内提供的本地等离子体(local plasma),或者,所述H2等离子体为所述氟去除处理腔体外提供的远程等离子体(remote plasma);
所述H2等离子体的射频功率为10W~800W,所述H2等离子体的H2流量为50sccm~5000sccm;
第七步,如图2G所示,进行钨的第二次化学机械研磨工艺,将所述凹槽结构201外的所述不含氟的钨金属层105和所述氮化钛层102去除,凹槽结构201内的顶部保留一薄层的不含氟的钨金属层105,形成由填充于所述凹槽结构201中的所述氮化钛层102、所述钨成核层103、所述钨主体层104和所述不含氟的钨金属层105组成的钨金属结构(也成为钨塞);
较佳的,第二次化学机械研磨后,钨金属结构顶部的不含氟的钨金属层105的厚度不小于1nm。
较佳为,所述钨金属结构为金属栅,被所述钨金属结构所覆盖的所述半导体衬底中形成于沟道区。或者,所述钨金属结构为接触孔,被所述钨金属结构所覆盖的所述半导体衬底中形成有需要被引出的掺杂区,在所述半导体衬底中形成的需要被引出的掺杂区包括N+区或P+区。或者,所述钨金属结构为通孔,所述凹槽结构201穿过位于两层金属层之间的层间膜。
本发明实施例的填充工艺,可用于金属栅MG和连接孔CT的钨填充,适用于含氟的钨金属淀积,在用于CT钨填充时,在形成氮化钛层之前需要先形成一钛金属层。
本发明在两次化学机械研磨之间,通过氢气等离子体处理工艺在钨金属结构表面形成不含氟的钨金属层,可以阻挡钨内部的氟向介电层中扩散,有利于工艺稳定性的管控。
以上通过具体实施例对本发明进行了详细的说明,该实施例仅仅是本发明的较佳实施例,本发明并不局限于上述实施方式。在不脱离本发明原理的情况下,本领域的技术人员做出的等效置换和改进,均应视为在本发明所保护的技术范畴内。

Claims (17)

1.一种钨填充凹槽结构中形成不含氟钨金属层的方法,其特征在于,包括如下步骤:
第一步,形成凹槽结构;
第二步,形成氮化钛层,其作为后续钨填充的粘附层和氟扩散阻挡层;
第三步,采用WF6作为钨源在所述氮化钛层表面形成钨成核层;
第四步,采用WF6作为钨源进行CVD工艺,在所述钨成核层表面淀积钨主体层,并将所述凹槽结构完全填充;
第五步,进行钨的第一次化学机械研磨工艺;
第六步,对第一次化学机械研磨后钨表面中的氟残留进行氟去除处理,
第七步,进行钨的第二次化学机械研磨工艺,将所述凹槽结构外的所述不含氟的钨金属层和所述氮化钛层去除,凹槽结构内的顶部保留一薄层的不含氟的钨金属层,形成由填充于所述凹槽结构中的所述氮化钛层、所述钨成核层、所述钨主体层和所述不含氟的钨金属层组成的钨金属结构。
2.根据权利要求1所述的钨填充凹槽结构中形成不含氟钨金属层的方法,其特征在于,第一步中所述凹槽结构形成于第一介质层中并穿过所述第一介质层,所述第一介质层位于半导体衬底表面,且所述凹槽结构将所述第一介质层底部的所述半导体衬底表面露出。
3.根据权利要求1所述的钨填充凹槽结构中形成不含氟钨金属层的方法,其特征在于,第二步中所述氮化钛层形成于所述凹槽结构的内侧表面并延伸到所述凹槽结构外的表面。
4.根据权利要求1所述的钨填充凹槽结构中形成不含氟钨金属层的方法,其特征在于,第三步中采用原子层淀积工艺形成所述钨成核层。
5.根据权利要求4所述的钨填充凹槽结构中形成不含氟钨金属层的方法,其特征在于,所述原子层淀积工艺所采用的工艺气体为WF6加SiH4或者B2H6
6.根据权利要求1所述的钨填充凹槽结构中形成不含氟钨金属层的方法,其特征在于,第四步中所述钨主体层淀积对应的CVD工艺的气体采用WF6和H2
7.根据权利要求1所述的钨填充凹槽结构中形成不含氟钨金属层的方法,其特征在于,第五步中第一次化学机械研磨将所述凹槽结构外的所述钨主体层、所述钨成核层都去除,并停留在所述氮化钛层上。
8.根据权利要求1所述的钨填充凹槽结构中形成不含氟钨金属层的方法,其特征在于,第五步中第一次化学机械研磨将所述凹槽结构上形成的钨部分去除,在所述凹槽结构外的表面保留一薄层钨,所述薄层钨作为导电层。
9.根据权利要求8所述的钨填充凹槽结构中形成不含氟钨金属层的方法,其特征在于,所述薄层钨的厚度为50埃~200埃。
10.根据权利要求1所述的钨填充凹槽结构中形成不含氟钨金属层的方法,其特征在于,第六步中所述氟去除处理采用H2等离子体进行处理。
11.根据权利要求10所述的钨填充凹槽结构中形成不含氟钨金属层的方法,其特征在于,所述H2等离子体为所述氟去除处理腔体内提供的本地等离子体或者所述氟去除处理腔体外提供的远程等离子体。
12.根据权利要求10所述的钨填充凹槽结构中形成不含氟钨金属层的方法,其特征在于,所述H2等离子体的射频功率为10W~800W。
13.根据权利要求10所述的钨填充凹槽结构中形成不含氟钨金属层的方法,其特征在于,所述H2等离子体的H2流量为50sccm~5000sccm。
14.根据权利要求1所述的钨填充凹槽结构中形成不含氟钨金属层的方法,其特征在于,第七步中第二次化学机械研磨后,钨金属结构顶部的不含氟的钨金属层的厚度不小于1nm。
15.根据权利要求2所述的钨填充凹槽结构中形成不含氟钨金属层的方法,其特征在于,所述钨金属结构为金属栅,被所述钨金属结构所覆盖的所述半导体衬底中形成于沟道区。
16.根据权利要求2所述的钨填充凹槽结构中形成不含氟钨金属层的方法,其特征在于,所述钨金属结构为接触孔,被所述钨金属结构所覆盖的所述半导体衬底中形成有需要被引出的掺杂区,在所述半导体衬底中形成的需要被引出的掺杂区包括N+区或P+区。
17.根据权利要求2所述的钨填充凹槽结构中形成不含氟钨金属层的方法,其特征在于,所述钨金属结构为通孔,所述凹槽结构穿过位于两层金属层之间的层间膜。
CN201810768408.1A 2018-07-13 2018-07-13 钨填充凹槽结构中形成不含氟钨金属层的方法 Active CN109065495B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201810768408.1A CN109065495B (zh) 2018-07-13 2018-07-13 钨填充凹槽结构中形成不含氟钨金属层的方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201810768408.1A CN109065495B (zh) 2018-07-13 2018-07-13 钨填充凹槽结构中形成不含氟钨金属层的方法

Publications (2)

Publication Number Publication Date
CN109065495A CN109065495A (zh) 2018-12-21
CN109065495B true CN109065495B (zh) 2020-10-09

Family

ID=64816436

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810768408.1A Active CN109065495B (zh) 2018-07-13 2018-07-13 钨填充凹槽结构中形成不含氟钨金属层的方法

Country Status (1)

Country Link
CN (1) CN109065495B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115394637A (zh) * 2022-10-27 2022-11-25 合肥晶合集成电路股份有限公司 半导体器件及其制作方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1741264A (zh) * 2004-08-26 2006-03-01 尔必达存储器株式会社 制造半导体器件的方法
CN104979277A (zh) * 2014-04-11 2015-10-14 中国科学院微电子研究所 一种化学机械平坦化的工艺方法
CN108091609A (zh) * 2017-11-23 2018-05-29 上海华力微电子有限公司 钨填充凹槽结构的方法

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003273212A (ja) * 2002-03-14 2003-09-26 Fujitsu Ltd 積層構造体およびその製造方法
JP2015177006A (ja) * 2014-03-14 2015-10-05 株式会社東芝 半導体装置及びその製造方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1741264A (zh) * 2004-08-26 2006-03-01 尔必达存储器株式会社 制造半导体器件的方法
CN104979277A (zh) * 2014-04-11 2015-10-14 中国科学院微电子研究所 一种化学机械平坦化的工艺方法
CN108091609A (zh) * 2017-11-23 2018-05-29 上海华力微电子有限公司 钨填充凹槽结构的方法

Also Published As

Publication number Publication date
CN109065495A (zh) 2018-12-21

Similar Documents

Publication Publication Date Title
US8835311B2 (en) High temperature tungsten metallization process
US5527739A (en) Process for fabricating a semiconductor device having an improved metal interconnect structure
US6686278B2 (en) Method for forming a plug metal layer
US7504333B2 (en) Method of forming bit line of semiconductor device
US10727119B2 (en) Process integration approach of selective tungsten via fill
KR20090130030A (ko) 무공극 콘택트 플러그
US11967525B2 (en) Selective tungsten deposition at low temperatures
US20030199152A1 (en) Method of forming a conductive contact
JP4804725B2 (ja) 半導体装置の導電性構造体の形成方法
CN109065495B (zh) 钨填充凹槽结构中形成不含氟钨金属层的方法
KR20230092821A (ko) 낮은 비저항 및 응력의 텅스텐 갭 충전을 위한 방법들 및 장치
CN108091609A (zh) 钨填充凹槽结构的方法
US10741442B2 (en) Barrier layer formation for conductive feature
KR20080114056A (ko) 반도체 소자의 배선 및 그의 형성방법
US7482264B2 (en) Method of forming metal line of semiconductor device, and semiconductor device
KR100607756B1 (ko) 반도체 소자의 텅스텐 콘택 전극 제조 방법
KR100503312B1 (ko) 반도체 소자의 콘택 전극 제조 방법
WO2022006225A1 (en) Selective tungsten deposition at low temperatures
KR20080055159A (ko) 반도체 소자의 제조방법
CN114664729A (zh) 半导体集成电路装置的接触插塞形成方法
KR20060072521A (ko) 반도체 소자의 제조방법
KR20010108839A (ko) 반도체 소자의 플러그 형성방법
JP2001358090A (ja) 半導体装置の製造方法
WO2011059036A1 (ja) 半導体装置の製造方法
KR20040060005A (ko) 반도체 소자의 베리어 금속막 형성방법

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant